Digital III. El Microprocesador i80c86

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Digital III. El Microprocesador i80c86"

Transcripción

1 Digital III El Microprocesador i80c86

2 Pinout del Microprocesador i80c86 ADDRESS / DATA Bus Oscilador a Cristal Decodificador de Instrucciones & Unidad de Control Bus de direcciones / Datos (Multiplexado) (AD0 AD15) ADDRESS / STATUS Bus Circuito de Reset Unidad Aritmetico Lógica Bus de direcciones / Status (Multiplexado) (A16/S3 - A19/S6) Registros AX BX CX DX CS DS ES BP SI DI PSW IP Microprocesador CONTROL Bus Bus de control /RD, /WR, /ALE, etc Ing. Gustavo Minnucci - Digital III

3 Arquitectura Interna i80c86 Ing. Gustavo Minnucci - Digital III

4 Generación de direcciones Físicas (con segmentación) Registro de SEGMENTO Registro de OFFSET Las direcciones constituyen el mecanismo que permite al microprocesador comunicarse con el resto de los dispositivos (memorias, periféricos, etc) 16 bits 4 bits SEGMENT Register 0000 DIRECCION FISICA Address Bus (A0 - A19) 16 bits OFFSET register Physical Address 20 bits Microprocesador Ej: jmp 0001h ( CS: 8000h ) ( OFFSET : 0001h ) CS ( 8000 h ) 0 h OFFSET ( 0001h ) Dirección física ( h) Ing. Gustavo Minnucci - Digital III

5 Generación de direcciones Registros Internos Registros de Segmento Ing. Gustavo Minnucci - Digital III

6 Digital III Ejecucion de Instrucciones

7 Ejecución de una instrucción (sin Pre-Fetch) Instrucción n Instrucción n+1 Fetch Execute Fetch Execute OP-Code Fetch Operand Fetch Execution OP-Code Fetch Operand Fetch Execution OP-CODE Fetch Lectura del OP-CODE desde memoria de programa ( Apuntado por CS : IP ) Decodificación del OP-CODE de la instrucción Incremento del IP. Determinación de búsqueda de operandos extras para la ejecución OPERAND Fetch (si existe) Lectura del (o los) operando(s) desde memoria de programa (CS : IP +...) Almacenamiento interno de los operandos. Execution Ejecución de la instrucción. Ing. Gustavo Minnucci - Digital III

8 Ejecución de instrucciones Instrucción n (con Pre-Fetch) Fetch de Instrucción (n) Execute Instrucción (n) Instrucción n+1 Fetch Instrucción (n+1) Execute OP-CODE Fetch ( Instrucción n ) Lectura del OP-CODE desde memoria de programa ( CS : IP ) Decodificación del OP-CODE de la instrucción Incremento del IP. Determinación de búsqueda de operandos extras para la ejecución Determina si en la ejecución hará uso de los buses. Execution ( Instrucción n ) Ejecución de la instrucción (n).... SI LA INSTRUCCIÓN (n) NO UTILIZA LOS BUSES. Pre-Fetch ( Instrucción n + 1 ) Busqueda de las instrucción siguiente. Armado de la cola interna de ejecución. Ing. Gustavo Minnucci - Digital III

9 Digital III Accesos de Lectura y Escritura

10 Acceso a memoria en lectura Registro de segmento Memoria Registro de Offset Lógica de decodificación Par / Impar /CS Dirección Física ALE Latch de Direcciones Address Bus Address Bus Registro de datos Data Bus Data Bus /RD BHE LA0 Generador RD WR Par - Impar /OE Microprocesador La memoria es quien provee el dato a transferir Ing. Gustavo Minnucci - Digital III

11 Intel 80c86 en lectura (Lectura de datos desde la memoria (o I/O) al up) Gustavo Minnucci - Digital III - Año 2011

12 Acceso a memoria en escritura Registro de segmento Memoria Registro de Offset Dirección Física ALE Address Bus Latch de Direcciones Lógica de decodificación Par / Impar /CS Address Bus Registro de datos /WR BHE LA0 Data Bus Generador RD WR Par - Impar Data Bus /WR Microprocesador El Microprocesador es quien provee el dato a transferir Ing. Gustavo Minnucci - Digital III

13 Intel 80c86 en escritura (Escritura de datos desde el up a la memoria (o I/O) ) Gustavo Minnucci - Digital III - Año 2011

14 Digital III Mapeo de Dispositivos

15 Mapeo de dispositivos FFFFF h Mapa de Memoria Área de memoria No Volatil Nota: h Área de memoria Volatil El mapeo de un dispositivo consiste en asignarle un rango de direcciones dentro del mapa de memoria (o Entrada/Salida) donde se pueda acceder a sus registros internos. El Mapa de Memoria es el vínculo entre el software y el hardware. Gustavo Minnucci - Digital III

16 Mapas de memoria y de I/O FFFFF h Mapa de Memoria Área de memoria No Volatil Observación: Los espacios de direcciones de MEMORIA y de ENTRADA/SALIDA son espacios DISTINTOS y son accesibles con instrucciones distintas. FFFF h Mapa de I/O Periférico n h Área de memoria Volatil 0000 h Periférico 2 Periférico 1 Accesible con instrucciones MOV, PUSH, etc. Accesible con instrucciones IN y OUT Gustavo Minnucci - Digital III

17 Mapeo de dispositivos con decod. completa Ej. Sistema con: 1 EPROM de 128K x 8. (17 líneas de direcciones) (8 líneas de datos) 2 RAMs de 128K x 8. (17 líneas de direcciones) (8 líneas de datos) Decodificación total de direcciones Líneas de direcciones del microprocesador Rango LA19 LA18 LA17 LA16 LA15 LA14 a LA2 LA1 LA0 EPROM 128 K FFFFFh a E0000h A16 A15 A14 a A2 A1 A0 Area Libre 40000h a DFFFFh 0 1 x x x xxxxxxx x x RAM K 20000h a 3FFFFh A16 A15 A14 a A2 A1 A0 RAM K 00000h a 1FFFFh A16 A15 A14 a A2 A1 A0 Gustavo Minnucci - Digital III

18 Mapeo de dispositivos con espejado Ej. Sistema con: 1 EPROM de 128K x 8. ( 17 líneas de direcciones ) ( 8 líneas de datos ) 2 RAMs de 128K x 8. ( 17 líneas de direcciones ) ( 8 líneas de datos ) Decodificación parcial de direcciones Líneas de direcciones del microprocesador Rango A19 A18 A17 A16 A15 A14 a A2 A1 A0 EPROM 128 K Espejo EPROM Espejo EPROM Espejo EPROM Espejo RAM 1 Espejo RAM 0 RAM K RAM K FFFFFh a E0000h 20000h a 3FFFFh 00000h a 1FFFFh 1 X X A16 A15 A14 a A2 A1 A0 1 X X A16 A15 A14 a A2 A1 A0 1 X X A16 A15 A14 a A2 A1 A0 1 X X A16 A15 A14 a A2 A1 A0 0 X 1 A16 A15 A14 a A2 A1 A0 0 X 0 A16 A15 A14 a A2 A1 A0 0 X 1 A16 A15 A14 a A2 A1 A0 0 X 0 A16 A15 A14 a A2 A1 A0 Gustavo Minnucci - Digital III - Año 2011

19 Digital III Lógica de decodificación Implementación VHDL

20 Implementacion VHDL Microprocesador M/IO Logica de bus Lógica de decodificación RAM Par /CS /CS RAM Impar ALE ADD Bus A16 - A19 Latch de Direcciones LA01 - LA19 Address Bus Address Bus ADD/DATA Bus AD0-AD15 DATA BUS (16 bits) D0-D7 D8-D15 Data Bus Data Bus /RD /WR BHE Generador WR_Par WR_Impar RD_Par RD_Impar /OE /WR /OE /WR Gustavo Minnucci - Digital III

21 Implementacion entity Logica_de_Bus is Port ( clk : in STD_LOGIC; -- f [clk]: 25 MHz reset : in STD_LOGIC; AD : in STD_LOGIC_VECTOR (19 downto 0); ALE : in STD_LOGIC; BHE : in STD_LOGIC; MIO : in STD_LOGIC; RD : in STD_LOGIC; WR : in STD_LOGIC; INTA : in STD_LOGIC; INTR : out STD_LOGIC; NMI : out STD_LOGIC; CLK_uP : out STD_LOGIC; RESET_uP : out STD_LOGIC; -- STATUS : out STD_LOGIC_VECTOR (3 downto 0); LADD: out STD_LOGIC_VECTOR (19 downto 0); CS : out STD_LOGIC_VECTOR (3 downto 0); PCS : out STD_LOGIC_VECTOR (3 downto 0); WR_H : out STD_LOGIC; WR_L: out STD_LOGIC; RD_H : out STD_LOGIC; RD_L : out STD_LOGIC ); end Logica_de_Bus; Gustavo Minnucci - Digital III

22 Implementacion architecture Behavioral of Logica_de_Bus is Definicion de Señales signal sale : STD_LOGIC; signal sbhe : STD_LOGIC; signal smio : STD_LOGIC; signal sinta : STD_LOGIC; signal sintr : STD_LOGIC; signal srd : STD_LOGIC; signal swr : STD_LOGIC; signal snmi : STD_LOGIC; signal sclk_up : STD_LOGIC; signal sreset_up : STD_LOGIC; signal sladd : STD_LOGIC_VECTOR (19 downto 0); signal scs : STD_LOGIC_VECTOR (3 downto 0); signal spcs : STD_LOGIC_VECTOR (3 downto 0); signal swr_h : STD_LOGIC; signal swr_l : STD_LOGIC; signal srd_h : STD_LOGIC; signal srd_l : STD_LOGIC; Gustavo Minnucci - Digital III

23 Implementacion Demultiplexor de direcciones demux: process (clk, reset) begin if (reset = '1') then sladd (19 downto 0) <= (others => '0'); else if (clk = '1' and clk'event) then if (sale = '1') then sladd <= AD; sbhe <= BHE; end if; end if; end if; end process; Gustavo Minnucci - Digital III

24 Implementacion Logica de Chip Selects (con decodificacion Incompleta) CS's en Espacio de Memoria scs(0) <= '0'when (sladd(19) = '0' and sladd(18) = '0' and smio = '1') else '1'; scs(1) <= '0'when (sladd(19) = '0' and sladd(18) = '1' and smio = '1') else '1'; scs(2) <= '0'when (sladd(19) = '1' and sladd(18) = '0' and smio = '1') else '1'; scs(3) <= '0'when (sladd(19) = '1' and sladd(18) = '1' and smio = '1') else '1'; -- CS's en Espacio de Entrada / Salida spcs(0) <= '0'when (sladd(15) = '0' and sladd(14) = '0' and smio = '0') else '1'; spcs(1) <= '0'when (sladd(15) = '0' and sladd(14) = '1' and smio = '0') else '1'; spcs(2) <= '0'when (sladd(15) = '1' and sladd(14) = '0' and smio = '0') else '1'; spcs(3) <= '0'when (sladd(15) = '1' and sladd(14) = '1' and smio = '0') else '1'; Gustavo Minnucci - Digital III

25 Implementacion Generacion de señales de RD y WR a memorias y perifericos RD_WR: process (reset, srd, sbhe, sladd(0), swr) begin if (reset = '1') then srd_h <= '1'; srd_l <= '1'; swr_h <= '1'; swr_l <= '1'; else srd_h <= srd or sbhe; srd_l <= srd or sladd(0); swr_h <= swr or sbhe; swr_l <= swr or sladd(0); end if; end process; Gustavo Minnucci - Digital III

26 Implementacion Generacion de Clock para Microprocesador clk_gen: process (clk, reset) variable v : std_logic_vector (2 downto 0) := "001"; begin if (reset = '1') then sclk_up <= '0'; v := "001"; else if (clk = '1' and clk'event) then v := v(1 downto 0) & v(2); end if; end if; sclk_up <= v(2); end process; Gustavo Minnucci - Digital III

27 Implementacion Conexion de señales con lineas externas sale<= ALE; smio<= MIO; -- MIO: 1 -> M - MIO: 0 -> IO sinta <= INTA; INTR<= sintr; NMI <= snmi; srd <= RD; swr <= WR; -- CS <= scs; PCS <= spcs; -- LADD <= sladd; RD_H <= srd_h; RD_L <= srd_l; WR_H <= swr_h; WR_L <= swr_l; CLK_uP <= sclk_up; RESET_uP<= sreset_up; Gustavo Minnucci - Digital III

28 Implementacion con Paginacion Microprocesador M/IO Registro Paginacion Lógica de decodificación RAM Par /CS /CS RAM Impar ALE ADD Bus A16 - A19 Latch de Direcciones LA01 - LA19 Address Bus Address Bus ADD/DATA Bus AD0-AD15 DATA BUS (16 bits) D0-D7 D8-D15 Data Bus Data Bus /RD /WR BHE Generador WR_Par WR_Impar RD_Par RD_Impar /OE /WR /OE /WR Gustavo Minnucci - Digital III Logica de bus

29 Electrónica Digital III Ejemplo: Lectura de Memoria RAM con Intel 80C88

30 Esquema Interno - Memoria RAM HM62256 (32K x 8) Gustavo Minnucci - Digital III

31 Ciclo de Lectura - Memoria RAM HM62256 (32K x 8) Gustavo Minnucci - Digital III

32 Ciclo de Escritura - Memoria RAM HM62256 (32K x 8) Gustavo Minnucci - Digital III

33 Microprocesador Compatibilización en Lectura - up -RAM (Con Latch Transparente) La compatibilidad depende del circuito intermedio!! Memoria Direccionamiento up Decodificación de direcciones Activación de /RD Lectura del dato Desactivación de /RD Direccionamiento up Gustavo Minnucci - Digital III Dirección estable para acceso Activación de Chip Select (Selección de celda) Activación de los buffers de salida Entrega del dato Desabilitación buffers de salida Deselección de dispositivo

34 Ejercicio: Realizar el mismo análisis de Compatibilización para el Ciclo de Escritura (up -RAM) (Con Latch Transparente) Gustavo Minnucci - Digital III

Mapeo en el P 8086 de Intel

Mapeo en el P 8086 de Intel Mapeo en el P 8086 de Intel Ing. Silvia Domizi Ing. Diego Alegrecci Mapeo Microprocesador 8086 1 Introducción Mapeo Microprocesador 8086 2 Mapeo Mapear un dispositivo, es asignarle un intervalo definido

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

ESTRUCTURA FÍSICA DEL µp 8086

ESTRUCTURA FÍSICA DEL µp 8086 Características generales: Procesador de 16 bits Bus de direcciones de 20 bits : 1 Mbyte Bus de datos interno de 16 bits Bus de datos externo de 16 bits en el 8086 8 bits en el 8088 89 instrucciones Alimentación

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Digital III. Kit Intel 80C86

Digital III. Kit Intel 80C86 Digital III Kit Intel 80C86 Construyendo un sistema basado en Intel 80C86 KIT i80c86 RS232 Alimentación Header Analizador LógicoL LÓGICA UART CLOCK Transceivers Latchs Banco FLASH Microprocesador Latchs

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Introducción a la arquitectura de computadores

Introducción a la arquitectura de computadores Introducción a la arquitectura de computadores Departamento de Arquitectura de Computadores Arquitectura de computadores Se refiere a los atributos visibles por el programador que trabaja en lenguaje máquina

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

INTERFACE CON MEMORIA y E/S

INTERFACE CON MEMORIA y E/S Todos los sistemas con procesadores tienen tres canales o buses: Bus de direcciones que proporcionan dirección de memoria al numero de puerto de E/S. Bus de datos que transfiere información entre el procesador

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

Práctica III Introducción a la descripción VHDL del procesador DLX

Práctica III Introducción a la descripción VHDL del procesador DLX Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 3 Práctica III Introducción a la descripción VHDL del procesador DLX 3.1. Implementación del procesador DLX La configuración

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 7

Sistemas Electrónicos Digitales. PRACTICA nº 7 PRACTICA nº 7 Diseño de Memorias RAM y ROM. Síntesis e implementación sobre dispositivos FPGA. Síntesis empleando FFs y síntesis utilizando bits de RAM dedicados Objetivos: Descripción y síntesis de memorias

Más detalles

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-27 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Sequential Logic Described in VHDL - A Design Example ARTECHE DIAZ Raul Center of

Más detalles

INDICE Programa Entrada Unidad de control Unidad aritmética y lógica (ALU)

INDICE Programa Entrada Unidad de control Unidad aritmética y lógica (ALU) INDICE Capitulo 1. Qué es un computador? 1.1. Introducción 1 1.2. El computador como dispositivo electrónico 2 1.3. Cómo se procesa la información? 3 1.4.Diagrama de bloques de un computador 1.4.1. Información

Más detalles

ARQUITECTURA DEL MICROPROCESADOR 8086

ARQUITECTURA DEL MICROPROCESADOR 8086 Segundo Cuatrimestre ASIGNATURA: ESTRUCTURA DE COMPUTADORES I.TELECOMUNICACION PROFESORA: JUANA Mª LOPEZ DEPARTAMENTO DE AUTOMATICA CAPITULO PRIMERO ARQUITECTURA DEL MICROPROCESADOR 8086 Estructura de

Más detalles

Arquitectura (Procesador familia 80 x 86 )

Arquitectura (Procesador familia 80 x 86 ) Arquitectura (Procesador familia 80 x 86 ) Diseño de operación Basada en la arquitectura Von Newman Memoria CPU asignadas direcciones I / O BUS: Es un canal de comunicaciones Bus de direcciones: Contiene

Más detalles

La arquitectura del 8086/8088

La arquitectura del 8086/8088 Repasamos aquí la arquitectura de un PC. Para más información sobre lo aquí expuesto se puede consultar [PA01] y los capítulos iniciales de [Tej01], [Rod00] y [Nor01]. Anatomía del PC A grandes rasgos,

Más detalles

Tema: MAPAS DE MEMORIA: LÓGICA DE SELECCIÓN, GESTIÓN Y ORDENACIÓN DE LA MEMORIA. J. Luis Lázaro, J. Jesús García "MAPA DE MEMORIA" 0

Tema: MAPAS DE MEMORIA: LÓGICA DE SELECCIÓN, GESTIÓN Y ORDENACIÓN DE LA MEMORIA. J. Luis Lázaro, J. Jesús García MAPA DE MEMORIA 0 Tema: MAPAS DE MEMORIA: LÓGICA DE SELECCIÓN, GESTIÓN Y ORDENACIÓN DE LA MEMORIA J. Luis Lázaro, J. Jesús García "MAPA DE MEMORIA" 0 MAPA DE MEMORIA Mapa de memoria Memoria que es capaz de direccionar un

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera.

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Simulación avanzada con Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Desc. del Problema Descripción HDL Synthesis Place and Route / Fit 2 - Generalidades - Generación de Estímulos Agenda - Asignaciones

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Iván González, Juan González, Francisco Gómez-Arribas Escuela Politécnica Superior Universidad Autónoma de Madrid

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Objetivo. Introducción. Tema: GENERACION DE CODIGO. Compiladores, Guía 11 1

Objetivo. Introducción. Tema: GENERACION DE CODIGO. Compiladores, Guía 11 1 Compiladores, Guía 11 1 Tema: GENERACION DE CODIGO. Facultad : Ingeniería Escuela :Computación Asignatura:Compiladores Objetivo Reconocer las diferentes instrucciones para la generación de código.ensamblador

Más detalles

La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador.

La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador. MAPEO DE MEMORIA La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador. Estos dispositivos pueden ser memorias (ROM y/o RAM), buffer s,

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

Arquitectura de Computadores II Introducción al 8086

Arquitectura de Computadores II Introducción al 8086 Introducción al 8086 Facultad de Ingeniería Universidad de la República Instituto de Computación Contenido Generalidades 80x86 Modos de direccionamiento Set de instrucciones Assembler Compilando algunos

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Implementación de Filtros Digitales Tipo FIR en FPGA

Implementación de Filtros Digitales Tipo FIR en FPGA Implementación de Filtros Digitales Tipo FIR en FPGA Jesús Antonio Álvarez Cedillo, Klauss Michael Lindig Bos, Gustavo Martínez Romero Resumen En este artículo se hace la descripción del diseño de un filtro

Más detalles

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik Objetivos Objetivos Arquitecturas von Neumann Otras Unidad Central de Procesamiento (CPU) Responsabilidades Requisitos Partes de una CPU ALU Control & Decode Registros Electrónica y buses 2 Índice Capítulo

Más detalles

Contenido TEMA 2 ENTRADA / SALIDA. Interfaz HW: buses del sistema. Interfaz HW de E/S

Contenido TEMA 2 ENTRADA / SALIDA. Interfaz HW: buses del sistema. Interfaz HW de E/S Contenido TEMA ENTRADA / SALIDA Sergio Romero Montiel Depto Arquitectura de Computadores El concepto de interfaz de E/S Direccionamiento de interfaces de E/S Mapa de memoria Organización Mapeada y no mapeada

Más detalles

Estructura del Computador

Estructura del Computador Estructura del Computador 1 definiciones preliminares Estructura: es la forma en que los componentes están interrelacionados Función: la operación de cada componente individual como parte de la estructura.

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas Carlos Andrés Luna Vázquez Lección 10 Problemas 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje

Más detalles

Diseño de Sistemas Digitales a través de Diseños Esquemáticos y VHDL Norma Frida Roffe Samaniego. Hoja de respuestas

Diseño de Sistemas Digitales a través de Diseños Esquemáticos y VHDL Norma Frida Roffe Samaniego. Hoja de respuestas Actividad integradora - Capítulo 1 Hoja de respuestas Pregunta 1: Codifique en VHDL un circuito encoder de 8 a 3. Utilice solamente funciones booleanas. El encoder debe operar de la siguiente manera: Se

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Tutorial de ModelSim PE Student Edition

Tutorial de ModelSim PE Student Edition Tutorial de ModelSim PE Student Edition Instalación Ir a http://portal.model.com/modelsim/downloads/license_agreement_form_gen.asp Rellenar los datos y selecionar Request Download Seleccionar el enlace

Más detalles

FPGA: Herramientas de diseño

FPGA: Herramientas de diseño FPGA: Herramientas de diseño Proceso diseño Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment

Más detalles

(1) Unidad 1. Sistemas Digitales Basados en Microprocesador SISTEMAS BASADOS EN MICROPROCESADORES. Grado en Ingeniería Informática EPS - UAM

(1) Unidad 1. Sistemas Digitales Basados en Microprocesador SISTEMAS BASADOS EN MICROPROCESADORES. Grado en Ingeniería Informática EPS - UAM Unidad 1 Sistemas Digitales Basados en Microprocesador SISTEMAS BASADOS EN MICROPROCESADORES Grado en Ingeniería Informática EPS - UAM Índice 1. Sistemas digitales basados en microprocesador. 1.1. Arquitectura

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

Análisis general de un Microprocesador

Análisis general de un Microprocesador Análisis general de un Microprocesador Arquitectura del chip Repertorio de instrucciones Sistema mínimo Señales de control Función de cada pin del µp Herramientas de desarrollo Performance. ARQUITECTURA

Más detalles

El nivel ISA (II)! Conjunto de Instrucciones

El nivel ISA (II)! Conjunto de Instrucciones El nivel ISA (II) Conjunto de Instrucciones EC-2721 Arquitectura del Computador I Que es un Conjunto de Instrucciones? Colección completa de instrucciones comprendida por un procesador Lenguaje de máquina

Más detalles

Ejemplo básico de descripción VHDL

Ejemplo básico de descripción VHDL Ejemplo básico de descripción VHDL Describir en VHDL un circuito que multiplexe dos líneas (a y b) de un bit, a una sola línea (salida) también de un bit; la señal selec sirve para indicar que a la salida

Más detalles

CONTADORES CONTADORES ASINCRONOS ASCENDENTE

CONTADORES CONTADORES ASINCRONOS ASCENDENTE CONTADOES CONTADOES ASINCONOS ASCENDENTE S 2 J ck 2 K Vdd Vdd Vdd S J ck K S J ck K Las entradas asincronas S y estan desactivadas -- CI : 2 3 4 5 6 7 8 9 2 2 CONTADO ASINCONO DESCENDENTE S 2 J ck 2 K

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador:

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador: DISEÑO DE BLOQUES DE MEMORIA La ampliación de componentes es una característica del diseño y en el caso de las memorias tiene dos objetivos: Incrementar el tamaño de las palabras. Incrementar el número

Más detalles

Departamento de Electrónica Electrónica Digital. Mapas de memoria. Bioingeniería Facultad de Ingeniería - UNER

Departamento de Electrónica Electrónica Digital. Mapas de memoria. Bioingeniería Facultad de Ingeniería - UNER Departamento de Electrónica Electrónica Digital Mapas de memoria Bioingeniería Facultad de Ingeniería - UNER 3/5/23 Electrónica DigitalElectrónica Digital Direccionamiento de las memorias 3/5/23 Electrónica

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Procesador. Memoria. Ejemplo de un Procesador: MU0. Instrucciones. Direcciones. Registros. Datos. Instrucciones y datos SETI Tr.

Procesador. Memoria. Ejemplo de un Procesador: MU0. Instrucciones. Direcciones. Registros. Datos. Instrucciones y datos SETI Tr. Ejemplo de un Procesador: MU0 Instrucciones Registros Procesador Direcciones Instrucciones y datos Datos Memoria Tr. 306 float fir_filter(float input, float *coef, int n, float *history { int i; float

Más detalles

Capítulo 2 Un Microprocesador Comercial de 8 bitshoja 2 de 65. Tabla de Contenidos

Capítulo 2 Un Microprocesador Comercial de 8 bitshoja 2 de 65. Tabla de Contenidos Capítulo 2 Un Microprocesador Comercial de 8 bitshoja 2 de 65 Tabla de Contenidos 1. Introducción a los microprocesadores de la familia Intel 8088/8086 5 2. Descripción de las patas de conexión del 8088

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O Interfaz con Dispositivos I/O Interfaz con Dispositivos de Salida y Salida Unidad 4, Segunda Parte Port Mapped Memory mapped 1 2 Ejecución de la Instrucción OUT Ejecución de la instrucción OUT Dirección

Más detalles

Memorias ROM: FPGA-VHDL Cómo???

Memorias ROM: FPGA-VHDL Cómo??? Memorias ROM: FPGA-VHDL Cómo??? Nota Técnica 03 Cristian Sisterna Introducción Básicamente hay dos formas de que una memoria ROM sea implementada en un FPGA a partir del código VHDL: - Deduciendo la memoria

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA LABORATORIO Nª2: Descripciòn VHDL utilizando el Estilo Algoritmico 1.-Implemente y simule el circuito

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

3 - Arquitectura interna de un up

3 - Arquitectura interna de un up cei@upm.es 3 - Arquitectura interna un up Componentes básicos Lenguaje ensamblador y código máquina Ciclo básico ejecución una instrucción Algunos ejemplos Universidad Politécnica Madrid Componentes básicos

Más detalles

PREGUNTAS INFORMÁTICA MONITOR UPB EXAMEN 1

PREGUNTAS INFORMÁTICA MONITOR UPB EXAMEN 1 PREGUNTAS INFORMÁTICA MONITOR UPB EXAMEN 1 1. Cuál de los siguientes componentes no forma parte del esquema general de un ordenador? A Memoria Principal B Disco Duro C Unidad de Control D Unidad Aritmético

Más detalles

SUBSISTEMA DE ENTRADA-SALIDA. Arquitectura de Computadores I 4º tema

SUBSISTEMA DE ENTRADA-SALIDA. Arquitectura de Computadores I 4º tema SUBSISTEMA DE ENTRADA-SALIDA Arquitectura de Computadores I 4º tema 1 Descripción de la interfaz de E/S Objetivo - Cómo se comunica la CPU con el exterior (periféricos de E/S) - Cómo se controla esta comunicación.

Más detalles

ESTRUCTURA DE MICROPROCESADORES

ESTRUCTURA DE MICROPROCESADORES ESTRUCTURA DE MICROPROCESADORES Introducción a la arquitectura i8086 Profesor Ing. Johan Carvajal Godínez AGENDA DE LA CLASE HISTORIA DEFINICIONES ANTECEDENTES MICROCOMPUTADORA INTRODUCCION AL 8086 HISTORIA

Más detalles

Mod. I, Unid. 1, Obj. 1 CRITERIO DE DOMINIO 1/1

Mod. I, Unid. 1, Obj. 1 CRITERIO DE DOMINIO 1/1 MR. 316 Versión 1 Prueba Integral 1/5 UNIVERSIDAD NACIONAL ABIERTA VICERRECTORADO ACADÉMICO ÁREA INGENIERIA MODELO DE RESPUESTA ASIGNATURA: MICROPROCESADORES CÓDIGO: 316 MOMENTO: INTEGRAL VERSIÓN: 01 FECHA

Más detalles

Dispositivos de memoria (Parte #2)

Dispositivos de memoria (Parte #2) Departamento de Electrónica Electrónica Digital Dispositivos de memoria (Parte #2) Bioingeniería Facultad de Ingeniería - UNER Clasificación RWM Read-Write Memories ROM Read Only Memories NVRWM Non Volatile

Más detalles

ARQUITECTURA DEL MICROPROCESADOR 8088

ARQUITECTURA DEL MICROPROCESADOR 8088 ARQUITECTURA DEL MICROPROCESADOR 8088 1. Descripción de las 40 patas del µp. 2. Ciclo del bus del µp. 3. Circuitos para generar el pulso del reloj. 4. Circuito para generar la señal de RESET (restauración)

Más detalles

Taller de Firmware. Introducción al PIC16F877. Facultad de Ingeniería Instituto de Com putación

Taller de Firmware. Introducción al PIC16F877. Facultad de Ingeniería Instituto de Com putación Taller de Firmware Introducción al PIC16F877 Facultad de Ingeniería Instituto de Com putación Contenido Introducción a los microcontroladores PIC. Presentación del PIC 16F877. Introducción a los microcontroladores

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Temporizador Programable (PIT) 8254. Temporizador/Contador Programable (PIT) 8254. Es un contador/temporizador programable diseñado para trabajar con los sistemas de microcomputadores.

Más detalles

V. Elementos de la Arquitectura

V. Elementos de la Arquitectura V. Elementos de la Arquitectura 1 Tipos de Datos Todos los sistemas digitales manejan la información como bits o vectores de bits. Sin embargo no es posible ni conveniente usar sólo estos tipos para todos

Más detalles

Lenguaje VHDL. Código para representar sistemas digitales en VHDL JJVS-09

Lenguaje VHDL. Código para representar sistemas digitales en VHDL JJVS-09 Lenguaje VHDL Código para representar sistemas digitales en VHDL 1 Las secciones fundamentales que forman el código en VHDL son: librería (LIBRARY), entidad (ENTITY) y arquitectura (ARCHITECTURE). LIBRARY:

Más detalles

Mapas de Memoria y E/S

Mapas de Memoria y E/S Mapas de Memoria y E/S Sistemas con Microprocesadores http://www.herrera.unt.edu.ar/procesadores Conexiones internas del CPU08 Dentro del mc el CPU08 se vincula con memoria y con los dispositivos de E/S

Más detalles

Examen de Arquitectura de Computadores 2 22 de febrero del 2011

Examen de Arquitectura de Computadores 2 22 de febrero del 2011 Examen de Arquitectura de Computadores 2 22 de febrero del 2011 Indique su nombre completo y número de cédula en cada hoja. Numere todas las hojas e indique el total de hojas en la primera. Escriba las

Más detalles

Generador de Secuencia Binaria Pseudo Aleatoria

Generador de Secuencia Binaria Pseudo Aleatoria Generador de Secuencia Binaria Pseudo Aleatoria Nota Técnica 12 Cristian Sisterna Introducción La generación de una secuencia pseudo aleatoria de números binarios es muy útil en ciertas ambientes de test

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 3: Memorias Objetivos Comprender qué es y cómo funciona un banco de registros Comprender cómo se realiza

Más detalles

1.2.- EL MICROPROCESADOR.

1.2.- EL MICROPROCESADOR. 1.2.- EL MICROPROCESADOR. El microprocesador es un circuito integrado que contiene algunos o todos los elementos necesarios para conformar una (o más) unidad central de procesamiento UCP, también conocido

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

MEMORIA EJERCICIO 1 EJERCICIO 2

MEMORIA EJERCICIO 1 EJERCICIO 2 MEMORIA EJERCICIO 1 Determinar el mapa de memoria de un procesador con 16 señales de bus de direcciones, una señal de asentimiento de bus de direcciones AS, una señal de lectura R, otra de escritura W

Más detalles

Conceptos de Arquitectura de Computadoras Curso 2015

Conceptos de Arquitectura de Computadoras Curso 2015 PRACTICA 1 Assembly, Instrucciones, Programas, Subrutinas y Simulador MSX88 Objetivos: que el alumno Domine las instrucciones básicas del lenguaje assembly del MSX88. Utilice los diferentes modos de direccionamiento.

Más detalles

Introducción a la Computación. Capítulo 10 Repertorio de instrucciones: Características y Funciones

Introducción a la Computación. Capítulo 10 Repertorio de instrucciones: Características y Funciones Introducción a la Computación Capítulo 10 Repertorio de instrucciones: Características y Funciones Que es un set de instrucciones? La colección completa de instrucciones que interpreta una CPU Código máquina

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

Tema: Microprocesadores

Tema: Microprocesadores Universidad Nacional de Ingeniería Arquitectura de Maquinas I Unidad I: Introducción a los Microprocesadores y Microcontroladores. Tema: Microprocesadores Arq. de Computadora I Ing. Carlos Ortega H. 1

Más detalles

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos de VHDL Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs)

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Objetivos: 1.- Conocer la función, características básicas y tipos de memorias. 2.- Conocer la función, características principales y aplicaciones

Más detalles

TEMA 4. ARQUITECTURA IA-64

TEMA 4. ARQUITECTURA IA-64 TEMA 4. ARQUITECTURA IA-64 Stalling, W.Computer Organization and Architecture cap. 15 Intel IA-64 Architecture Software Developer s Manual Generalidades IA-64 Desarrollo conjunto Intel-HP Nueva arquitectura

Más detalles

Arquitectura de Computadores II 8086 Parte II

Arquitectura de Computadores II 8086 Parte II 8086 Parte II Facultad de Ingeniería Universidad de la República Instituto de Computación Contenido Funciones recursivas Manejadores de dispositivos Rutinas recursivas(1/10) Introducción Salvar contexto.

Más detalles

Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM

Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Introducción Al ejecutar el software

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles