Digital III. Kit Intel 80C86

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Digital III. Kit Intel 80C86"

Transcripción

1 Digital III Kit Intel 80C86

2 Construyendo un sistema basado en Intel 80C86

3 KIT i80c86 RS232 Alimentación Header Analizador LógicoL LÓGICA UART CLOCK Transceivers Latchs Banco FLASH Microprocesador Latchs de direcciones Transceivers de datos Banco SRAM Periférico rico RESET MODO

4 Intel 80c86 en lectura (Lee datos desde la memoria (o I/O) al up) INTR_uP +5V #RD #WR ALE #INTA #DEN DT/#R M/#IO NMI Ready Clk_uP Reset RD LOC/WR QS0ALE QS1INA S0,DEN S1DT/R S2M/IO RQ/GT0 RQ/GT1 NMI INTR MN/MX TEST READY CLK RESET Intel U15 AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 A16/S3 A17/S4 A18/S5 A19/S6 BHE/S AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 A16 A17 A18 A19 #BHE Tamaño: A4 Fecha: Archivo: Ver. Rev. Revisó: 8-Mar-2010 Hora: 21:16:50 Pag. 0 / 0 D:\Work\ECA\Protel 99\FCEIA\FCEIA.Ddb - Documents\Ejemplo Mapeo I Digital III Fac. de Ingeniería Electrónica Universidad Nacional de Rosario Rosario - Santa Fe - Argentina

5 Intel 80c86 en escritura (Escribe datos desde el up a la memoria (o I/O) ) INTR_uP +5V #RD #WR ALE #INTA #DEN DT/#R M/#IO NMI Ready Clk_uP Reset RD LOC/WR QS0ALE QS1INA S0,DEN S1DT/R S2M/IO RQ/GT0 RQ/GT1 NMI INTR MN/MX TEST READY CLK RESET Intel U15 AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 A16/S3 A17/S4 A18/S5 A19/S6 BHE/S AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 A16 A17 A18 A19 #BHE Revisó: Tamaño: A4 Ver. Rev. Fecha: 8-Mar-2010 Hora: 21:16:50 Pag. 0 / 0 Archivo: D:\Work\ECA\Protel 99\FCEIA\FCEIA.Ddb - Documents\Ejemplo Mapeo I Digital III Fac. de Ingeniería Electrónica Universidad Nacional de Rosario Rosario - Santa Fe - Argentina

6 CPU Intel 80c86 con bus de direcciones demultiplexado y buses de control buffereado B_ALE DATA BUS LA[0..19] INTR_uP +5V #RD #WR ALE #INTA #DEN DT/#R M/#IO NMI Ready Clk_uP Reset ALE #WR #RD M/#IO #INTA #DEN DT/#R INTR RD U15 AD0 LOC/WR AD1 QS0ALE AD2 QS1INA AD3 AD4 S0,DEN AD5 S1DT/R AD6 S2M/IO AD7 AD8 RQ/GT0 AD9 RQ/GT1 AD10 AD11 AD12 NMI AD13 INTR AD14 AD15 MN/MX TEST A16/S3 READY A17/S4 A18/S5 A19/S6 CLK RESET BHE/S7 Intel U18A MC74HC244A 1 G Y1 2 A1 Y2 4 A2 Y3 6 A3 Y4 8 A4 U18B MC74HC244A 19 G Y1 11 A1 Y2 13 A2 Y3 15 A3 Y4 17 A AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 A16 A17 A18 A19 #BHE B_ALE B_WR B_RD B_IO/M B_INTA B_DEN B_DT/R INTR_uP AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 A16 A17 A18 A U12 OC C 1Q 2Q 1D 3Q 2D 4Q 3D 5Q 4D 6Q 5D 7Q 6D 8Q 7D 8D MC74HC373 U13 OC C 1Q 2Q 1D 3Q 2D 4Q 3D 5Q 4D 6Q 5D 7Q 6D 8Q 7D 8D MC74HC373 U14 OC C 1Q 2Q 1D 3Q 2D 4Q 3D 5Q 4D 6Q 5D 7Q 6D 8Q 7D 8D MC74HC LA0 LA1 LA2 LA3 LA4 LA5 LA6 LA7 LA8 LA9 LA10 LA11 LA12 LA13 LA14 LA15 LA16 LA17 LA18 LA19 B_BHE LATCHED ADDRESS BUS LA[0..19] B_BHE B_ALE B_WR B_RD B_IO/M B_INTA B_DEN B_DT/R Revisó: Tamaño: A4 Ver. Rev. Fecha: 8-Mar-2010 Hora: 21:49:57 Pag. 0 / 0 Archivo: D:\Work\ECA\Protel 99\FCEIA\FCEIA.Ddb - Documents\Ejemplo Mapeo II LA[0..19] BUFFERED CONTROL BUS Digital III Fac. de Ingeniería Electrónica Universidad Nacional de Rosario Rosario - Santa Fe - Argentina

7 CPU Intel 80c86 con bus de direcciones demultiplexado y buses de control y datos buffereados B_DT/R B_DEN B_ALE INTR_uP +5V #RD #WR ALE #INTA #DEN DT/#R M/#IO NMI Ready Clk_uP Reset ALE #WR #RD M/#IO #INTA #DEN DT/#R INTR RD U15 AD0 LOC/WR AD1 QS0ALE AD2 QS1INA AD3 AD4 S0,DEN AD5 S1DT/R AD6 S2M/IO AD7 AD8 RQ/GT0 AD9 RQ/GT1 AD10 AD11 AD12 NMI AD13 INTR AD14 AD15 MN/MX TEST A16/S3 READY A17/S4 A18/S5 A19/S6 CLK RESET BHE/S7 Intel U18A MC74HC244A 1 G Y1 2 A1 Y2 4 A2 Y3 6 A3 Y4 8 A4 U18B MC74HC244A 19 G Y1 11 A1 Y2 13 A2 Y3 15 A3 Y4 17 A AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 A16 A17 A18 A19 #BHE B_ALE B_WR B_RD B_IO/M B_INTA B_DEN B_DT/R INTR_uP AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 A16 A17 A18 A U12 OC C 1Q 2Q 1D 3Q 2D 4Q 3D 5Q 4D 6Q 5D 7Q 6D 8Q 7D 8D MC74HC373 U13 OC C 1Q 2Q 1D 3Q 2D 4Q 3D 5Q 4D 6Q 5D 7Q 6D 8Q 7D 8D MC74HC373 U14 OC C 1Q 2Q 1D 3Q 2D 4Q 3D 5Q 4D 6Q 5D 7Q 6D 8Q 7D 8D MC74HC LA0 LA1 LA2 LA3 LA4 LA5 LA6 LA7 LA8 LA9 LA10 LA11 LA12 LA13 LA14 LA15 LA16 LA17 LA18 LA19 B_BHE AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD DIR = 1 U16 G DIR A1 A2 A3 A4 A5 A6 A7 A8 MC74HC245A U17 G DIR A1 A2 A3 A4 A5 A6 A7 A8 MC74HC245A B1 B2 B3 B4 B5 B6 B7 B8 B1 B2 B3 B4 B5 B6 B7 B D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 LA[0..19] D[0..15] B_BHE B_ALE B_WR B_RD B_IO/M B_INTA B_DEN B_DT/R Revisó: Tamaño: A4 Ver. Rev. Fecha: 8-Mar-2010 Hora: 21:44:33 Pag. 0 / 0 Archivo: D:\Work\ECA\Protel 99\FCEIA\FCEIA.Ddb - Documents\Ejemplo Mapeo III D[0..15] BUFFERED DATA BUS LATCHED ADDRESS BUS LA[0..19] BUFFERED CONTROL BUS Digital III Fac. de Ingeniería Electrónica Universidad Nacional de Rosario Rosario - Santa Fe - Argentina

8 Mapeo de Dispositivos Entrada / Salida

9 Banco de Entrada / Salida Espacio direccionable del up en E/S 16 líneas de direcciones (A0-A15) -> 2 16 posiciones direccionables Espacio direccionable E/S (máx): 64 Kbytes Dispositivos a ubicar en el mapa de E/S 1. Periférico I/O Chip Select: #CS_FPGA Activo en nivel bajo Registros Internos: 8 registros de 8 bits 2. Registro de Paginación Chip Select: #CS_PAGINA Activo en nivel bajo Registros internos: 1 registro de 8 bits (Sólo se usa 1 bit) 3. Registro de Habilitación de NMI Chip Select: #CS_NMI_ENABLE Activo en nivel bajo Registros Internos: 1 registro de 8 bits (Sólo se usa 1 bit) 4. Registro de Comunicaciones Serie Chip Select: #CS_SERIAL Activo en nivel bajo Registros Internos: 1 Registro de 8 bits (Sólo se usa 1 bit)

10 Ubicación n de los dispositivos COMO UBICAMOS LOS DISPOSITIVOS EN EL MAPA? I. DECODIFICACION COMPLETA La generación de la señal Chip Select del dispositivo se realiza a partir de la totalidad de las direcciones no involucradas en la selección de los registros internos del mismo ubicándolos en direcciones consecutivas del mapa. Ejemplo: Dispositivo R/W con 16 registros internos de 8 bits Posee: 4 lineas de selección de registro internos (PA3, PA2, PA1, PA0) Bus de datos de 8 bits (PD0 a PD7) Líneas de Control: #CS - #RD - #WR etc. Requiere: 4 líneas de direcciones directas desde el microprocesador (Ej: LA3, LA2, LA1, LA0) Ocupa 16 Posiciones Consecutivas únicas en el mapa de E/S. (Por ejemplo: desde 0000h hasta 000Fh) Decodificación de las total de las lineas de direcciones sobrantes (LA4 a LA15) Lógica necesaria: Decodificación total de las lineas de direcciones sobrantes (12 líneas de direcciones LA4 a LA15) Multiplexado de Bus de datos (D0-D7 ó D8 a D15) PROS Baja ocupación de espacio de E/S CONTRAS Gran Lógica de decodificación y multiplexado

11 Ubicación n de los dispositivos COMO UBICAMOS LOS DISPOSITIVOS EN EL MAPA? II. DECODIFICACION COMPLETA ALTERNADA La generación de la señal Chip Select del dispositivo se realiza a partir de la totalidad de las direcciones no involucradas en la selección de los registros internos del mismo ubicándolos en direcciones consecutivas alternadas del mapa (direccciones pares o impares). Ejemplo: Dispositivo R/W con 16 registros internos de 8 bits Posee: 4 lineas de selección de registro internos (PA3, PA2, PA1, PA0) Bus de datos de 8 bits (PD0 a PD7) Líneas de Control: #CS - #RD - #WR etc. Requiere: 4 líneas de direcciones directas desde el microprocesador (Ej: LA4, LA3, LA2, LA1) Ocupa 16 Posiciones Alternadas únicas en el mapa de E/S. (Por ejemplo: desde 0000h, 0002h, 0004h, etc sólo direcciones pares) Decodificación de las total de las lineas de direcciones sobrantes (LA15 a LA5 + LA0) Lógica necesaria: Decodificación total de las lineas de direcciones sobrantes (12 líneas de direcciones LA15 a LA5 + LA0) PROS Baja ocupación de espacio de E/S No requiere multiplexado de datos CONTRAS Gran Lógica de decodificación

12 Ubicación n de los dispositivos COMO UBICAMOS LOS DISPOSITIVOS EN EL MAPA? III. DECODIFICACION PARCIAL o ESPEJADO La generación de la señal Chip Select del dispositivo se realiza a partir de algunas pocas líneas, menos de las usadas en la decodificacion completa, generando así la posibilidad de acceder a un mismo registro interno en distintas direcciones del mapa (Direcciones Bases y Espejos). Ejemplo: Dispositivo R/W con 16 registros internos de 8 bits Posee: 4 lineas de selección de registro internos (PA3, PA2, PA1, PA0) Bus de datos de 8 bits (PD0 a PD7) Líneas de Control: #CS - #RD - #WR etc. Requiere: 4 líneas de direcciones directas desde el microprocesador (Ej: LA4, LA3, LA2, LA1) Decodificación parcial de las lineas de direcciones sobrantes (LA15, LA14, etc) Ocupa: 16 Posiciones Alternadas en el mapa de E/S (Ej: Direcciones bases 0000h, 0002h, etc) y repetidas en distintas zonas (espejos). Lógica necesaria: Decodificación parcial con pocas de las lineas de direcciones sobrantes (generalmente las altas) (1, 2, 3 o más dependiendo de la cantidad total de dispositivos (líneas de direcciones LA15, etc) PROS Pequeña lógica de decodificación No requiere multiplexado de datos CONTRAS Registros internos del dispositivo espejados accesibles en más de una dirección

13 Mapeo Banco de Entrada / Salida Dispositivos a ubicar en el mapa de E/S 1. Periférico I/O Chip Select: #CS_FPGA Activo en nivel bajo Registros Internos: 8 registros de 8 bits 2. Registro de Paginación Chip Select: #CS_PAGINA Activo en nivel bajo 1 registro de 8 bits (Sólo se usa 1 bit) 3. Registro de Habilitación de NMI Chip Select: #CS_NMI_ENABLE Activo en nivel bajo 1 registro de 8 bits (Sólo se usa 1 bit) 4. Registro de Comunicaciones Serie Chip Select: #CS_SERIAL Activo en nivel bajo 1 Registro de 8 bits (Sólo se usa 1 bit)

14 Mapeo Banco de Entrada / Salida con espejado LA15 LA14 #CS_SERIAL #CS_PAGINADOR = NOT (LA15 AND LA14) OR #IO/M = NOT (LA15 AND NOT LA14) OR #IO/M #WR #RD BHE #IO/M LA3 LA2 Lógica de decodificación para E/S #CS_NMI_ENABLE #CS_FPGA Peripheral A2 = LA3 Peripheral A1 = LA2 = NOT (LA15 AND NOT LA14) OR #IO/M = NOT (NOT LA15 AND NOT LA14) OR #IO/M EJERCICIO EJERCICIO Determinar Determinar las las direcciones direcciones base base y y espejos espejos para para cada cada registro registro de de cada cada dispositivo dispositivo LA1 Peripheral A0 = LA1

15 Mapeo de Dispositivos de Memoria Flash - RAM

16 Banco de Memoria Memoria FLASH ROM No volatil -> Contenido cierto cuando se energiza Almacenamiento de Programa y Datos fijos Capacidad: 2 chips de 512Kb x 8 bits -> 1024 Kbyte Memoria RAM Volatil > Contenido incierto cuando se energiza. Almacenamiento de variables (Variables de prog. IVT, stack ) Capacidad: 2 chips de 128Kb x 8 bits -> 256 Kbytes Capacidad Total de Memoria FLASH ROM: 2 x 512 Kbyte = 1024 Kbyte RAM: 2 x 128 Kbyte = 256 Kbytes TOTAL: 1280 Kbytes Espacio direccionable del up 20 líneas de direcciones (A0-A19) -> 2 20 posiciones direccionables Espacio direccionable de memoria (máx.): 1024 Kbytes : 1 Mbyte

17 Banco de Memoria TOTAL DE MEMORIA Instalada: vs. Espacio direccionable up (máximo): 1280 Kbytes 1024 Kbytes COMO ACCEDEMOS A MAS POSICIONES DE MEMORIA DE LAS QUE EL ESPACIO DIRECCIONABLE PERMITE? Rta: ACCESO NO SIMULTANEO PAGINACION

18 Banco de Memoria Mapeo y Paginación FFFFF h FFFFF h Flash (512K x 8) Flash h h 7FFFF h Ventana de Paginación (256K x 8) PAG = 1 Pagina h h 3FFFF h 3FFFF h RAM (256K x 8) h h RAM (256K x 8) PAG = 0 Pagina h

19 Lógica de Chip Select / Paginación Acceso a Página P 0

20 Lógica de Chip Select / Paginación Acceso a Página P 1

21 Banco de Memoria Mapeo de memoria #CS_FLASH #WR #RD BHE #IO/M Lógica de decodificación Y paginación #CS_RAM #WRP #WRI #RDP Write Banco de Memoria Par Write Banco de Memoria Impar Read Banco de Memoria Par #RDI Read Banco de Memoria Impar PAGINA? LA0 LA19 A0* A19* Direcciones a Banco de Memoria Diseñar la lógica de decodificación y paginación necesarias para: EJERCICIO 1. Generación de Chip Select Flash (CS_FLASH) y RAM (CS_RAM) 2. Conmutación de páginas (Lineas A0* a A19*) dependiendo del bit PAGINA 3. Lineas de Lectura (RDP y RDI)y grabación para banco Par e Impar (WRP y WRI) UTILIZAR LA MINIMA LOGICA POSIBLE!

22 Diseño o de Software para KIT Intel 80C86

23 METODOLOGIA DE TRABAJO DISEÑO DE SOFTWARE ANALISIS CIRCUITO Y HOJAS DE DATOS GENERACION DE DIAGRAMA DE FLUJO CODIGO FUENTE PROGRAMACION MODULOS FUENTES ASM (Editor) ASSEMBLER (TASM) LINKING (TLINK) DEPURACION PARCIAL (EMU8086) VERIFICACION (Kit Intel 80C86)

24 DEPURACION (Debugging( Debugging) startup.asm usuario.asm TASM TASM startup.obj usuario.obj TLINK TLINK Ejecutables D.O.S USUARIO.EXE Listados startup.lst usuario.lst usuario.map Simulación EMU8086 EXE2ROM ARCHIVOS RESULTANTES Productos D.O.S usuario.exe startup.lst usuario.lst usuario.map Productos EXE2ROM usuario.log ROM_FULL.BIN ROM_PAR.BIN ROM_IMP.BIN ROM_FULL.HEX ROM.HEX ROM.HEX Kit 8086 RS232

25 KIT INTEL i80c86

26 KIT i80c86 RS232 Alimentación Header Analizador LógicoL LÓGICA UART CLOCK Transceivers Latchs Banco FLASH Microprocesador Latchs de direcciones Transceivers de datos Banco SRAM Periférico rico RESET MODO

27 Diagrama de Bloques Lógica de Chip Select / Paginación

28 CPU

29 Banco Memoria Flash - RAM 1 Mb FLASH 256 Kb SRAM

30 Zócalo para Interfase I/O

31 Interfase I/O (Display Pulsadores - Led)

32 MODOS DE FUNCIONAMIENTO Y MAPA DE MEMORIA Y E/S KIT Intel 80c86

33 Modos de operación del kit MODO SUPERUSUARIO (Led de Modo: OFF) En este modo el microprocesador ejecuta un programa precargado (Bootloader) que permite la comunicación a PC para la carga del programa del usuario en la memoria Flash de usuario. MODO USUARIO (Led de Modo: ON) En este modo el microprocesador ejecuta el programa de aplicación del usuario desde Flash luego del reset.

34 MAPA de Memoria Modo SUPERUSUARIO

35 MAPA de Memoria Modo USUARIO

36 OTRAS DISPOSITIVOS DE ENTRADA / SALIDA BASADOS EN FPGA

37 Periféricos ricos Programables sobre FPGA Periférico Programable con FPGA para kit Intel 80c86 Pueden describirse periféricos en VHDL e interconectarse con la CPU a través de los buses del sistema. Ejemplos: Interfase a teclado PS2 Interfase a mouse PS2 Display 7 segmentos Placa de video RGB VGA

38 Periféricos ricos Programables sobre FPGA Periférico Programable sobre FPGA con kit XESS XSA-50 Kit Intel 80c86 con entrada de teclado y salida de video VGA generando un patrón de barras

Digital III. El Microprocesador i80c86

Digital III. El Microprocesador i80c86 Digital III El Microprocesador i80c86 Pinout del Microprocesador i80c86 ADDRESS / DATA Bus Oscilador a Cristal Decodificador de Instrucciones & Unidad de Control Bus de direcciones / Datos (Multiplexado)

Más detalles

Mapeo en el P 8086 de Intel

Mapeo en el P 8086 de Intel Mapeo en el P 8086 de Intel Ing. Silvia Domizi Ing. Diego Alegrecci Mapeo Microprocesador 8086 1 Introducción Mapeo Microprocesador 8086 2 Mapeo Mapear un dispositivo, es asignarle un intervalo definido

Más detalles

Trabajo Práctico Nro 2: Mapeo Decodificación

Trabajo Práctico Nro 2: Mapeo Decodificación Año:00 Trabajo Práctico Nro : Mapeo Decodificación Trabajo Práctico Nro : Mapeo Decodificación Introducción Estructura básica de buses (Arquitectura Von Newman) Bus de Dirección Bus de Datos Bus de Control

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Sistemas Electrónicos Digitales Universidad de Alcalá Curso Académico 2014/2015 Curso 3º Cuatrimestre 1º Ejercicio 1 Se dispone de chips de EEPROM de 2Kx8. Realice la ampliación a 8Kx8 manteniendo una

Más detalles

Contenido TEMA 2 ENTRADA / SALIDA. Interfaz HW: buses del sistema. Interfaz HW de E/S

Contenido TEMA 2 ENTRADA / SALIDA. Interfaz HW: buses del sistema. Interfaz HW de E/S Contenido TEMA ENTRADA / SALIDA Sergio Romero Montiel Depto Arquitectura de Computadores El concepto de interfaz de E/S Direccionamiento de interfaces de E/S Mapa de memoria Organización Mapeada y no mapeada

Más detalles

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador:

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador: DISEÑO DE BLOQUES DE MEMORIA La ampliación de componentes es una característica del diseño y en el caso de las memorias tiene dos objetivos: Incrementar el tamaño de las palabras. Incrementar el número

Más detalles

Departamento de Electrónica Electrónica Digital. Mapas de memoria. Bioingeniería Facultad de Ingeniería - UNER

Departamento de Electrónica Electrónica Digital. Mapas de memoria. Bioingeniería Facultad de Ingeniería - UNER Departamento de Electrónica Electrónica Digital Mapas de memoria Bioingeniería Facultad de Ingeniería - UNER 3/5/23 Electrónica DigitalElectrónica Digital Direccionamiento de las memorias 3/5/23 Electrónica

Más detalles

Tema 5: Memorias. Índice Conceptos básicos Parámetros característicos Jerarquía de memoria Memoria principal Tecnologías Estructura Mapa de memoria

Tema 5: Memorias. Índice Conceptos básicos Parámetros característicos Jerarquía de memoria Memoria principal Tecnologías Estructura Mapa de memoria Tema 5: Memorias Índice Conceptos básicos Parámetros característicos Jerarquía de memoria Memoria principal Tecnologías Estructura Mapa de memoria Bibliografía Fundamentos de sistemas digitales Thomas

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

ESTRUCTURA FÍSICA DEL µp 8086

ESTRUCTURA FÍSICA DEL µp 8086 Características generales: Procesador de 16 bits Bus de direcciones de 20 bits : 1 Mbyte Bus de datos interno de 16 bits Bus de datos externo de 16 bits en el 8086 8 bits en el 8088 89 instrucciones Alimentación

Más detalles

Memoria. M. en C. Erika Vilches. Parte 6

Memoria. M. en C. Erika Vilches. Parte 6 Memoria M. en C. Erika Vilches Parte 6 Lógica del Chip Como otros circuitos integrados, las memorias semiconductoras vienen en chips encapsulados. Cada chip contiene una matriz de celdas de memoria. Para

Más detalles

Organización del Computador 1 Memorias

Organización del Computador 1 Memorias Organización del Computador 1 Memorias Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires Octubre 2009 Jerarquía de las memorias Jerarquía de memorias en un

Más detalles

ARQUITECTURA DEL MICROPROCESADOR 8088

ARQUITECTURA DEL MICROPROCESADOR 8088 ARQUITECTURA DEL MICROPROCESADOR 8088 1. Descripción de las 40 patas del µp. 2. Ciclo del bus del µp. 3. Circuitos para generar el pulso del reloj. 4. Circuito para generar la señal de RESET (restauración)

Más detalles

INTERFACE CON MEMORIA y E/S

INTERFACE CON MEMORIA y E/S Todos los sistemas con procesadores tienen tres canales o buses: Bus de direcciones que proporcionan dirección de memoria al numero de puerto de E/S. Bus de datos que transfiere información entre el procesador

Más detalles

Estructura de Computadores Ingeniería Técnica en Informática de Sistemas. BOLETIN 4: Memoria

Estructura de Computadores Ingeniería Técnica en Informática de Sistemas. BOLETIN 4: Memoria BOLTIN : Memoria Nota: n aquellos problemas donde no se indique lo contrario debe suponerse que el espacio de direccionamiento es de K. P. Un sistema basado en un microprocesador dispone de s de K* y una

Más detalles

Diseño y verificación en VHDL de microcontrolador implementado en FPGA

Diseño y verificación en VHDL de microcontrolador implementado en FPGA SEMINARIO DE EXTENSIÓN DE ISLD 2017 uc16 Diseño y verificación en VHDL de microcontrolador implementado en FPGA Sergio Noriega Clase inicial: Jueves 24 de Agosto, de 8:15 a 10:15 hs, Aula 32. Total 12

Más detalles

Estructura de Computadores. 1. Ejercicios Resueltos 1.1. Tema 3. La unidad de memoria I. La memoria física

Estructura de Computadores. 1. Ejercicios Resueltos 1.1. Tema 3. La unidad de memoria I. La memoria física Estructura de Computadores Tema 3. La unidad de memoria I. La memoria física Características y clasificación general de las memorias. Diseño de una unidad de memoria. Estructura interna de la memoria estática.

Más detalles

MEMORIA EJERCICIO 1 EJERCICIO 2

MEMORIA EJERCICIO 1 EJERCICIO 2 MEMORIA EJERCICIO 1 Determinar el mapa de memoria de un procesador con 16 señales de bus de direcciones, una señal de asentimiento de bus de direcciones AS, una señal de lectura R, otra de escritura W

Más detalles

Estructura del Computador

Estructura del Computador ARQ. DE COMPUTADORAS Arquitectura de Computadoras Villalobos Universidad Peruana Union Filial Tarapoto Semana 02.2 Estructura del Computador El modelo von Neumman Formado por 5 componentes principales:

Más detalles

ARQUITECTURA DE LOS AUTOMATAS PROGRAMABLES

ARQUITECTURA DE LOS AUTOMATAS PROGRAMABLES ARQUITECTURA DE LOS AUTOMATAS PROGRAMABLES Un autómata programable es: Un equipo electrónico, basado en un microprocesador o microcontrolador, que tiene generalmente una configuración modular, puede programarse

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE LOS COMPUTADORES II BOLETÍN 3 MEMORIAS SEMICONDUCTORAS

ESTRUCTURA Y TECNOLOGÍA DE LOS COMPUTADORES II BOLETÍN 3 MEMORIAS SEMICONDUCTORAS NOTA: En aquellos problemas donde no se indique lo contrario debe suponerse que el espacio de direccionamiento es de 64K. Problema 1.- Un sistema basado en un microprocesador dispone de 3 RAMs de 8K*8

Más detalles

Tema: MAPAS DE MEMORIA: LÓGICA DE SELECCIÓN, GESTIÓN Y ORDENACIÓN DE LA MEMORIA. J. Luis Lázaro, J. Jesús García "MAPA DE MEMORIA" 0

Tema: MAPAS DE MEMORIA: LÓGICA DE SELECCIÓN, GESTIÓN Y ORDENACIÓN DE LA MEMORIA. J. Luis Lázaro, J. Jesús García MAPA DE MEMORIA 0 Tema: MAPAS DE MEMORIA: LÓGICA DE SELECCIÓN, GESTIÓN Y ORDENACIÓN DE LA MEMORIA J. Luis Lázaro, J. Jesús García "MAPA DE MEMORIA" 0 MAPA DE MEMORIA Mapa de memoria Memoria que es capaz de direccionar un

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Memoria y Entrada/Salida Tecnología Organización - Expansión

Memoria y Entrada/Salida Tecnología Organización - Expansión Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC2721 Arquitectura del Computador I Prof. Osberth De Castro Clase 05 Memoria y Entrada/Salida Tecnología Organización - Expansión La memoria

Más detalles

LECCIÓN N 13 MICROCOMPUTADORES

LECCIÓN N 13 MICROCOMPUTADORES LECCIÓN N 13 MICROCOMPUTADORES Introducción: Conceptos básicos Sistema microcomputador Unidad Central de Proceso (CPU) 13-1 Introducción Por qué surgen los microprocesadores? Circuitos digitales Avance

Más detalles

Arquitectura y Periféricos

Arquitectura y Periféricos Arquitectura y Periféricos Departamento de Electrónica Fundación San Valero Características fundamentales: Arquitectura RISC avanzada Harvard: 16- bit con 8- bit de datos. 77 instrucciones Desde 18 a 80

Más detalles

Tema 0. Introducción a los computadores

Tema 0. Introducción a los computadores Tema 0 Introducción a los computadores 1 Definición de computador Introducción Máquina capaz de realizar de forma automática y en una secuencia programada cierto número de operaciones sobre unos datos

Más detalles

ORGANIZACIÓN DEL MICROCOMPUTADOR

ORGANIZACIÓN DEL MICROCOMPUTADOR ORGANIZACIÓN DEL MICROCOMPUTADOR MICROPROCESADOR RAM ROM UNIDADES DE INTERCONEXIÓN BUS DE DIRECCIONES LINEAS DE CONTROL BUS I/O BUS DE DATOS ORGANIZACIÓN DEL MICROPROCESADOR INSTRUCCIONES DE UN MICROPROCESADOR

Más detalles

Diseño y verificación en VHDL de microcontrolador implementado en FPGA

Diseño y verificación en VHDL de microcontrolador implementado en FPGA SEMINARIO DE EXTENSIÓN DE ISLD 2016 uc16 Diseño y verificación en VHDL de microcontrolador implementado en FPGA Sergio Noriega Clase inicial: Jueves 18 de Agosto, de 8:15 a 10:15 hs, Sala de Conferencias

Más detalles

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs)

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Objetivos: 1.- Conocer la función, características básicas y tipos de memorias. 2.- Conocer la función, características principales y aplicaciones

Más detalles

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador:

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador: DISEÑO DE BLOQUES DE MEMORIA La ampliación de componentes es una característica del diseño y en el caso de las memorias tiene dos objetivos: Incrementar el tamaño de las palabras. Incrementar el número

Más detalles

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA.

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. Características Técnicas: FPGA de Xilinx XC2S400E ISP PROM XC18V04 Memoria RAM estática: 2Mbytes Memoria FLASH: 4 Mbytes Temperatura de almacenamiento:

Más detalles

Controlador de Interrupciones (Versión programable) Manual de Usuario

Controlador de Interrupciones (Versión programable) Manual de Usuario Controlador de Interrupciones (Versión programable) Manual de Usuario Índice de contenido 1. Características...2 2.Descripción general...3 3.Descripción funcional...3 4.Estructura Interna...4 4.1 Bloque

Más detalles

Introducción a la Computación. Capítulo 7 Entrada/Salida

Introducción a la Computación. Capítulo 7 Entrada/Salida Introducción a la Computación Capítulo 7 Entrada/Salida Problemas Entrada/Salida Existe una amplia variedad periféricos Se les entrega distintas cantidades de datos Funcionan a distintas velocidades Tienen

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Temporizador Programable (PIT) 8254. Temporizador/Contador Programable (PIT) 8254. Es un contador/temporizador programable diseñado para trabajar con los sistemas de microcomputadores.

Más detalles

Informática. Informática = Hardware + Software. Hardware + Software. Hardware = Parte física. Software = Parte lógica

Informática. Informática = Hardware + Software. Hardware + Software. Hardware = Parte física. Software = Parte lógica Informática Hardware + Software Informática = Hardware + Software Hardware = Parte física Software = Parte lógica 1 Hardware ELEMENTOS DE UN ORDENADOR CPU (microprocesador) Unidad aritmético-lógica Unidad

Más detalles

Todos los sistemas basados en procesadores tienen dos tipos de memorias:

Todos los sistemas basados en procesadores tienen dos tipos de memorias: Todos los sistemas basados en procesadores tienen dos tipos de memorias: Memorias ROM (Read Only Memory) Contienen el programa y datos permanentes del sistema. Memorias RAM (Random Access Memory) Contienen

Más detalles

La Familia del Microcontrolador 8051

La Familia del Microcontrolador 8051 La Familia del Microcontrolador 8051 Generalidades de la familia del 8051 Es uno de los µc s más viejos (Intel MCS-51 en 1981) y probablemente el más popular, ya que ha sido comercializado por un gran

Más detalles

Dispositivos de memoria (Parte #2)

Dispositivos de memoria (Parte #2) Departamento de Electrónica Electrónica Digital Dispositivos de memoria (Parte #2) Bioingeniería Facultad de Ingeniería - UNER Clasificación RWM Read-Write Memories ROM Read Only Memories NVRWM Non Volatile

Más detalles

MEMORIAS. Arquitectura de Computadoras. (Conceptos Introductorios) M. C. Felipe Santiago Espinosa

MEMORIAS. Arquitectura de Computadoras. (Conceptos Introductorios) M. C. Felipe Santiago Espinosa MEMORIAS Arquitectura de Computadoras (Conceptos Introductorios) M. C. Felipe Santiago Espinosa Junio - 2017 1 Memorias Introducción Una memoria es un dispositivo capaz de almacenar información. Operaciones:

Más detalles

Soluciones a los problemas impares. Tema 5. Memorias. Estructura de Computadores. I. T. Informática de Gestión / Sistemas

Soluciones a los problemas impares. Tema 5. Memorias. Estructura de Computadores. I. T. Informática de Gestión / Sistemas Tema 5. Soluciones a los problemas impares Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 28-29 Tema 5 Hoja: 2 / 36 Tema 5 Hoja: 3 / 36 Base teórica La memoria es el lugar en

Más detalles

SEMINARIO DE EXTENSIÓN DE ISLD

SEMINARIO DE EXTENSIÓN DE ISLD SEMINARIO DE EXTENSIÓN DE ISLD Sergio Noriega - 2014 OBJETIVOS EL PRESENTE SEMINARIO TIENE COMO OBJETIVO, INTRODUCIR A LOS ALUMNOS AL DISEÑO Y VERIFICACIÓN DE CIRCUITOS DIGITALES COMPLEJOS, EMPLEANDO TÉCNICAS

Más detalles

La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador.

La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador. MAPEO DE MEMORIA La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador. Estos dispositivos pueden ser memorias (ROM y/o RAM), buffer s,

Más detalles

Memorias: Definiciones y características (1)

Memorias: Definiciones y características (1) Memorias: Definiciones y características (1) Un memoria es un dispositivo físico capaz de almacenar información. Existen una gran variedad de parámetros que permiten caracterizar o clasificar una memoria:

Más detalles

Salida activa: 0 o 1 Salida colector abierto (open collector) Salida Triestado (tristate). Modelo: Modelo: (Salida open collector)

Salida activa: 0 o 1 Salida colector abierto (open collector) Salida Triestado (tristate). Modelo: Modelo: (Salida open collector) 8-1 Salida activa: 0 o 1 Salida colector abierto (open collector) o Modelo: (Salida open collector) Vcc R Las llaves se cierran con un 1 Salida Triestado (tristate). Vcc o Modelo: (Salida tercer estado)

Más detalles

EL ORDENADOR Y SUS COMPONENTES

EL ORDENADOR Y SUS COMPONENTES EL ORDENADOR Y SUS COMPONENTES Esta presentación ha sido realizada por Mónica Escamilla. Virgilio Marco Aparicio, profesor de Apoyo al Área Práctica del IES Tiempos Modernos de Zaragoza, la tradujo del

Más detalles

ESTRUCTURA BÁSICA DEL µc AT89C51

ESTRUCTURA BÁSICA DEL µc AT89C51 Desde mediados de la década de los 80 s gran parte de los diseños basados en la automatización (electrodomésticos, sencillas aplicaciones Industriales, instrumentación medica, control numérico, etc.) utilizaban

Más detalles

Arquitectura de Computadores

Arquitectura de Computadores Curso 2006/07 Arquitectura de Computadores 1. Introducción 2. La CPU 3. Lenguaje Máquina 4. 5. Sistema de Entrada/Salida 6. Buses Informática Aplicada Arquitectura de Computadores 1 Características generales

Más detalles

Integración de Equipos para Comunicaciones

Integración de Equipos para Comunicaciones Integración de Equipos para Comunicaciones Tema 3: Bus XT Aurelio Vega Martínez DIEA: Integración de Equipos para Comunicaciones. (Tema 3: Bus XT). Pág. 1 Introducción. Diseñado en un principio para trabajar

Más detalles

CU6 FPGA. up16 DIV_CLK CLK_UP16 CLK PC16R MUXMAR BUS MAR RST DIR MEM MEMORIA MEMORIA BUS MUXRX DATA MEM BUS CONTROL CPU ALU BUS MDRO MDRI DATA MEM OUT

CU6 FPGA. up16 DIV_CLK CLK_UP16 CLK PC16R MUXMAR BUS MAR RST DIR MEM MEMORIA MEMORIA BUS MUXRX DATA MEM BUS CONTROL CPU ALU BUS MDRO MDRI DATA MEM OUT CU6 PROYECTO CU6 DISEÑO EN VHDL DE MICROPROCESADOR ELEMENTAL CON UN REGISTRO INTERNO CON ACCESO DIRECTO A LA MEMORIA DE DATOS PARA REALIZAR LECTURA Y ESCRITURA DE LA MISMA Y OPERACIONES ARITMÉTICO-LÓGICAS

Más detalles

Diseño Basado en Microcontroladores.

Diseño Basado en Microcontroladores. Diseño Basado en Microcontroladores. Tema 3: Hardware del microcontrolador M16C/62 de Mitsubishi. (4 horas) 3.1. Características generales. 3.2. Arquitectura interna. Diagrama de bloques. 3.3. Mapa de

Más detalles

Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos).

Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos). Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos). Memoria Típica. Los datos almacenados en memoria tienen que pasar, en un momento

Más detalles

Controladores de memoria externa

Controladores de memoria externa Memoria Externa RAM estática / Flash NOR (baja capacidad) Buses sin multiplexar. Interfaz «estándar», compatible con otros periféricos. Flash NAND (alta capacidad) Acceso secuencial. Sin bus de direcciones.

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Controlador de Interfaz Paralelo Programable (PPI) 8255. Controlador de Interfaz Paralelo Programable (PPI) 8255. Es un periférico programable de E/S de aplicación general,

Más detalles

INDICE Programa Entrada Unidad de control Unidad aritmética y lógica (ALU)

INDICE Programa Entrada Unidad de control Unidad aritmética y lógica (ALU) INDICE Capitulo 1. Qué es un computador? 1.1. Introducción 1 1.2. El computador como dispositivo electrónico 2 1.3. Cómo se procesa la información? 3 1.4.Diagrama de bloques de un computador 1.4.1. Información

Más detalles

ESTRUCTURA DE MICROPROCESADORES

ESTRUCTURA DE MICROPROCESADORES ESTRUCTURA DE MICROPROCESADORES Interfaz básica de E/S Comunicación paralelo Profesor Ing. Johan Carvajal Godínez Interfaz básica de entrada/salida Permiten la comunicación de microprocesador con otros

Más detalles

Sección 2: Sistemas de Adquisición de Datos para PC

Sección 2: Sistemas de Adquisición de Datos para PC Sección 2: Sistemas de Adquisición de Datos para PC Son las piezas básicas de los Sistemas de Adquisición de Datos que conectándose directamente al puerto de impresora y PCs compatibles, pueden encadenarse

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Decodificador de Direcciones de Memoria en una GAL

Decodificador de Direcciones de Memoria en una GAL Decodificador de Direcciones de Memoria en una GAL La decodificación de memoria es una aplicación típica de los dispositivos lógicos programables, y la siguiente describe la implementación con ABEL-HDL

Más detalles

UNIDAD 2. Unidad de Microprocesador (MPU) Microprocesadores Otoño 2011

UNIDAD 2. Unidad de Microprocesador (MPU) Microprocesadores Otoño 2011 1 UNIDAD 2 Unidad de Microprocesador (MPU) Microprocesadores Otoño 2011 Contenido 2 Unidad de Microprocesador Generalizada Memoria Dispositivos de Entrada y Salida Sistemas basados en Microprocesadores

Más detalles

Dispositivos de memoria

Dispositivos de memoria Departamento de Electrónica Electrónica Digital Dispositivos de memoria Bioingeniería Facultad de Ingeniería - UNER Memorias de semiconductores Aplicaciones Almacenamiento de SW (instrucciones y datos)

Más detalles

Departamento de Sistemas e Informática

Departamento de Sistemas e Informática Departamento de Sistemas e Informática Microprocesador 8086 Digital II Presentación de Docentes y Material è è Docentes: è Ing. Silvia Domizi è Ing. Diego Alegrechi Material de Cátedra (disponible en www.dsi.fceia.unr.edu.ar)

Más detalles

Solución 1. Solución usando un 8255 para las entradas y salidas, un 8254 para la temporización y realizando la entrada/salida por polling.

Solución 1. Solución usando un 8255 para las entradas y salidas, un 8254 para la temporización y realizando la entrada/salida por polling. Enunciado: Se va a implementar un sistema basado en 0 para el control de un cruce con entre dos calles de una sola dirección (una principal con bastante tráfico y una secundaria con poco tráfico) regulada

Más detalles

ORGANIZACIÓN DE LA MEMORIA

ORGANIZACIÓN DE LA MEMORIA Existen dos tipos de memoria en todos los µc, memoria de programa y memoria de datos. La primera (EPROM, EEPROM, FLASH, etc) contiene los códigos de operación que se ejecutarán para seguir una secuencia

Más detalles

Lógica cableada: Lógica programada:

Lógica cableada: Lógica programada: 1-1 Lógica cableada: Circuitos vistos en Diseño Lógico (Combinatoria, Modo reloj, RTL, ) Función fija determinada en el momento del diseño por las conexiones físicas entre los componentes del circuito

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

Velocidades Típicas de transferencia en Dispositivos I/O

Velocidades Típicas de transferencia en Dispositivos I/O Entradas Salidas Velocidades Típicas de transferencia en Dispositivos I/O Entradas/Salidas: Problemas Amplia variedad de periféricos Entrega de diferentes cantidades de datos Diferentes velocidades Variedad

Más detalles

Organización del Computador. Memorias

Organización del Computador. Memorias Organización del Computador Memorias Jerarquía de las memorias Jerarquía de memorias en un Pentium Métricas de las memorias Capacidad de almacenamiento: en bytes o múltiplos (kb, MB, TB) Tiempo de acceso:

Más detalles

Memorias. Docente: Ing. Víctor Cárdenas Schweiger

Memorias. Docente: Ing. Víctor Cárdenas Schweiger Memorias Docente: Ing. Víctor Cárdenas Schweiger 2016 1 Qué es una memoria RAM? Es la memoria donde se almacenan los datos (programas) con los que se están trabajando en ese momento. Es un dispositivo

Más detalles

Tema 0. Introducción a los computadores

Tema 0. Introducción a los computadores Tema 0 Introducción a los computadores 1 Definición de computador Introducción Máquina capaz de realizar de forma automática y en una secuencia programada cierto número de operaciones sobre unos datos

Más detalles

UNIDAD CENTRAL DE PROCESO

UNIDAD CENTRAL DE PROCESO UNIDAD CENTRAL DE PROCESO NO SON CPU, SON CASES COMPONENTES DE UN SISTEMA DE CÓMPUTOS DISPOSITIVOS DE ALMACENAMIENTO Disco Rígido, Disquete, CD, DVD DISPOSITIVOS DE ENTRADA Teclado Mouse Micrófono Cámara

Más detalles

Interface paralelo programable 8255.

Interface paralelo programable 8255. Interface paralelo programable 8255. 1 Comunicaciones paralelo. CARACTERÍSTICAS Transferencia simultánea de bits por líneas separadas Ventaja: frecuencias de transmisión mayores Desventaja: el coste de

Más detalles

Tema 5.- Memorias. ---***---

Tema 5.- Memorias. ---***--- Tema 5.- Memorias. 1. Conceptos básicos generales. 2. Organización de mapas de memorias. 2.1. Objetivos. 2.2. Ejemplo de conexión entre un procesador genérico y la memoria. 2.3. Ejemplo de conexión entre

Más detalles

Contenidos. Arquitectura de ordenadores (fundamentos teóricos) Elementos de un ordenador. Periféricos

Contenidos. Arquitectura de ordenadores (fundamentos teóricos) Elementos de un ordenador. Periféricos Arquitectura de ordenadores (fundamentos teóricos) Representación de la información Estructura de un microprocesador Memorias Sistemas de E/S Elementos de un ordenador Microprocesador Placa base Chipset

Más detalles

Memorias de Semiconductor. Departamento de Electrónica Curso 2010/11

Memorias de Semiconductor. Departamento de Electrónica Curso 2010/11 s de Semiconductor Curso 2010/11 Índice Introducción Clasificación de las memorias El chip de memoria Estructura interna de una memoria Cronogramas de acceso s RAM estáticas s RAM dinámicas s ROM s PROM

Más detalles

PROBLEMAS DE ESTRUCTURA DE LOS COMPUTADORES MAPAS DE MEMORIA

PROBLEMAS DE ESTRUCTURA DE LOS COMPUTADORES MAPAS DE MEMORIA PROBLEMAS DE ESTRUCTURA DE LOS COMPUTADORES EJERCICIO : Una CPU cuenta con un ancho de palabra de 6 bits. Se quiere dotar a esa CPU de una memoria con las siguientes características: 256 Kpalabras (256

Más detalles

GUÍA DE ETS PARA COMPUTACIÓN V AMBOS TURNOS SUPERVISORES: ING. JOSÉ LUÍS BRAVO LEÓN e ING. LUÍS URIETA PÉREZ PROBLEMAS RESUELTOS

GUÍA DE ETS PARA COMPUTACIÓN V AMBOS TURNOS SUPERVISORES: ING. JOSÉ LUÍS BRAVO LEÓN e ING. LUÍS URIETA PÉREZ PROBLEMAS RESUELTOS COMPUTACIÓN V UÍA ETS UÍA E ETS PARA COMPUTACIÓN V AMBOS TURNOS SUPERVISORES: IN. JOSÉ LUÍS BRAVO LEÓN e IN. LUÍS URIETA PÉREZ PROBLEMAS RESUELTOS ) Obtenga dos tarjetas de memoria, una de RAM y otra de

Más detalles

Tema 5: Memorias. Espacio reservado para notas del alumno

Tema 5: Memorias. Espacio reservado para notas del alumno Tema 5: Memorias S Definiciones S Parámetros característicos S Jerarquía de memoria S Dispositivos de almacenamiento S Clasificación S Fundamentos de las memorias S Memoria caché / Memoria virtual S Memoria

Más detalles

EDUKIT08 PLUGIN_AW. Características. Aplicaciones. Descripción general. Placa de Personalización para la flia. HC9S08 FLASH de 8 Bits.

EDUKIT08 PLUGIN_AW. Características. Aplicaciones. Descripción general. Placa de Personalización para la flia. HC9S08 FLASH de 8 Bits. Características. EDUKIT08 Placa de Personalización para la flia. HC9S08 FLASH de 8 Bits. Se incorpora a la placa didáctica EDUKIT08 con hardware completo para prácticas con cada módulo típico del HC9S08.

Más detalles

ESTRUCTURA DE MICROPROCESADORES

ESTRUCTURA DE MICROPROCESADORES ESTRUCTURA DE MICROPROCESADORES Introducción a la arquitectura i8086 Profesor Ing. Johan Carvajal Godínez AGENDA DE LA CLASE HISTORIA DEFINICIONES ANTECEDENTES MICROCOMPUTADORA INTRODUCCION AL 8086 HISTORIA

Más detalles

CONVERTIDOR ANALÓGICO DIGITAL

CONVERTIDOR ANALÓGICO DIGITAL CONVERTIDOR ANALÓGICO DIGITAL SU CONEXIÓN N Y APLICACIONES Conversión n Analógica - Digital La conversión A/D es un proceso cuantización en la cual una señal analógica es representada por su equivalente

Más detalles

POWER PC. Indice ARQUITECTURA DE COMPUTADORES. Antonio del Amo Ruiz. 1. Introducción. 2. Tecnología RISC. 3. Arquitectura de la CPU Power PC

POWER PC. Indice ARQUITECTURA DE COMPUTADORES. Antonio del Amo Ruiz. 1. Introducción. 2. Tecnología RISC. 3. Arquitectura de la CPU Power PC ARQUITECTURA DE COMPUTADORES POWER PC Antonio del Amo Ruiz 1. Introducción 2. Tecnología RISC Indice 3. Arquitectura de la CPU Power PC 4. Modelos del Power PC 5. Comparación entre Power PC, Pentium y

Más detalles

CAPÍTULO 2. LOS MICROPROCESADORES 80x86

CAPÍTULO 2. LOS MICROPROCESADORES 80x86 48 CAPÍTULO 2 LOS MICROPROCESADORES 80x86 2.1 EL 8086 2.1.1 ESTRUCTURA INTERNA Registros generales direcciones 20 bits AX, BX, CX, DX SP, BP, SI, DI datos 16 bits Registros de segmento bus datos 16 bits

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

Cap. 1. Introducción a la Arquitectura de Dispositivos Móviles

Cap. 1. Introducción a la Arquitectura de Dispositivos Móviles Presentación Cap. 1. Introducción a la Arquitectura de Dispositivos Móviles Escuela Superior de Cómputo IPN. Departamento de Posgrado Dr. Julio Cesar Sosa Savedra jcsosa@ipn.mx www.desid.escom.ipn.mx Contenido

Más detalles

Práctica final. Emilia Cruz Fernández Martínez

Práctica final. Emilia Cruz Fernández Martínez Guadalinex Práctica final Curso 2003/2004 Emilia Cruz Fernández Martínez Desarrollo de una unidad didáctica usando software libre ELEMENTOS FUNCIONALES DE UN ORDENADOR Qué es un ordenador? Es un dispositivo

Más detalles

UNIDAD 1. COMPONENTES DEL COMPUTADOR

UNIDAD 1. COMPONENTES DEL COMPUTADOR UNIDAD 1. COMPONENTES DEL COMPUTADOR OBJETIVO Nº 1.1: DEFINICIÓN DE COMPUTADOR: Es un dispositivo electrónico compuesto básicamente de un procesador, una memoria y los dispositivos de entrada/salida (E/S).

Más detalles

Memoria Externa. Diseño de Sistemas con FPGA Patricia Borensztejn 1er cuatrimestre 2009

Memoria Externa. Diseño de Sistemas con FPGA Patricia Borensztejn 1er cuatrimestre 2009 Memoria Externa Diseño de Sistemas con FPGA Patricia Borensztejn 1er cuatrimestre 2009 Esto es para que tengan una pequeña idea de cómo hacer un controlador para la memoria externa. Los que necesiten mas

Más detalles

REQUISITOS Cuaderno 50 hojas cuadros. CD/DV/Memory Carpeta

REQUISITOS Cuaderno 50 hojas cuadros. CD/DV/Memory Carpeta REQUISITOS Cuaderno 50 hojas cuadros. CD/DV/Memory Carpeta INDICACIONES GENERALES Mantener el orden al ingresar a los laboratorios. Cuidar del aseo y limpieza del laboratorio. No se permitiráel ingresode

Más detalles

UD.-9. Análisis y diseño de circuitos con memorias.

UD.-9. Análisis y diseño de circuitos con memorias. UD.-9. Análisis y diseño de circuitos con memorias. José Gorjón JOSE GORJON 1-1 Índice Objetivos. Introducción. Clasificación de las memorias. Características generales de una memoria. Estructura y organización

Más detalles

Tópicos Especiales de Mecatrónica

Tópicos Especiales de Mecatrónica Tópicos Especiales de Mecatrónica Arquitectura de Microcontroladores Ricardo-Franco Mendoza-Garcia rmendozag@uta.cl Escuela Universitaria de Ingeniería Mecánica Universidad de Tarapacá Arica, Chile April

Más detalles

Periféricos Interfaces y Buses

Periféricos Interfaces y Buses Periféricos Interfaces y Buses I. Arquitectura de E/S II. Programación de E/S III. Interfaces de E/S de datos IV. Dispositivos de E/S de datos V. Buses Buses de E/S (PCI, PC104, AGP). Sistemas de interconexión

Más detalles

Organización de Computadoras. Turno Recursantes Clase 8

Organización de Computadoras. Turno Recursantes Clase 8 Organización de Computadoras Turno Recursantes Clase 8 Temas de Clase Subsistema de Memoria Organización de Memoria Principal Notas de clase 8 2 Memoria Velocidad del procesador: se duplica cada 18 meses

Más detalles

Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital

Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital MARÍA ISABEL SCHIAVON - 2005 1907 1 950 RESEÑA HISTORICA 60 MSI 70 LSI microprocesador 1958 80 circuitos

Más detalles

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE 1 SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE tiempo de acceso RAM, algunas veces se usa cerrojo en el Juan Manuel Narváez Sánchez, Carlos Andrés Moreno Tenjica, Estudent Member IEEE

Más detalles

PROYECTOS EN VHDL PARA CONTROL DE MONITOR VGA DESDE UNA FPGA

PROYECTOS EN VHDL PARA CONTROL DE MONITOR VGA DESDE UNA FPGA PROYECTOS EN VHDL PARA CONTROL DE MONITOR VGA DESDE UNA FPGA Sergio Noriega 2017 TABLA DE CÓDIGOS ASCII Internacionalmente se estandarizó un código para para la representación de caracteres visibles para

Más detalles