Números con y sin signo Suma y resta Operaciones Lógicas Construyendo una ALU Multiplicación División Punto Flotante

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Números con y sin signo Suma y resta Operaciones Lógicas Construyendo una ALU Multiplicación División Punto Flotante"

Transcripción

1 /3/22 rquitectura de Computadoras Primavera 22 Números con sin signo Suma resta Operaciones Lógicas Construendo una LU Multiplicación División Punto Flotante 2 Los números son representados en base 2 Cada digito de un número binario es llamado bit Los números sin signo son representados de la siguiente manera: Y Ejemplo: El número binario 2 es la representación de: MS it Mas Significativo LS it Menos Significativo Palabras de 32-bits pueden representar 2 32 diferentes patrones, por lo cual estas combinaciones representan los números de a = = = = La representación que es fácilmente implementada en hardware es el Complemento a 2 s El complemento a 2 s se obtiene de

2 /3/22 Ejemplo: obtener la representación de -2 2 La representación en complemento a 2 s se realiza invirtiendo todos los bits sumando uno 7 Etensión de Signo En ocasiones se requiere operar con números de diferentes longitudes Por ejemplo: se desea sumar un número de 6 bits con un número de 8 bits. Para operarlos se requiere realizar la etensión de signo del operando mas pequeño Ejemplo: 8 Los números headecimales se representan con 6 dígitos que se muestran a continuación Headecimal inario Headecimal inario 8 9 C D E F Un número binario se puede convertir a headecimal de la siguiente forma 6 F 9 Un número headecimal se puede convertir a binario de la siguiente forma E C 7 2 En la suma, los dígitos (bits) son sumados de derecha a izquierda, con acarreos pasados al siguiente digito de la izquierda. Los bits se suma siguiendo estas reglas Las mismas reglas aplican para números octales, pero los grupos de bits se reducen a 3 carreo 2 2

3 /3/22 Ejemplo: Sumar 3 = = acarreos Ejemplo: suma 6 7 representados en 6 bits La resta se realiza de la misma forma que la suma pero siguiendo estas reglas - - Prestado orrow Ejemplo: resta 3 = - = - Ejemplo: Resta 7-6 representados en 6 bits - La resta también se puede obtener usando el complemento a 2 s. El operando apropiado se complementa a 2 s se suman. Ejemplo Un sobreflujo ocurre cuando el resultado de una operación no puede ser representado con el hardware disponible En otras palabras si el resultado no puede ser representado en el número de bits usados, por ejemplo 32-bits El sobreflujo solo puede producirse cuando se suman operandos con el mismo signo Cuando los números tienen signos opuestos. El resultado no puede generar un sobreflujo Esto se debe a que el resultado no puede ser maor que los números operados. Ejemplo: -4 =

4 /3/22 El sobreflujo en la resta tiene el principio opuesto. Números con signos diferentes pueden producir un sobreflujo ejemplo: 6 (-8) = 4 Números con el mismo signo no producen sobreflujo Ejemplo: -4 (-2) = 6 Como determinar cuando un sobreflujo se ha producido? Operando Operando indicando overflow < < < < < < La representación usada es complemento a 2 s entonces el sobreflujo se puede determinar en base al bit de signo 9 2 Sumamos 3 3 = 6 representados en 3 bits en complemento a 2 s Sumamos -3 (-2) = -5 representados en 3 bits en complemento a 2 s El resultado es un número negativo -2 = por lo cual se produce un sobreflujo El resultado es un número positivo 3 = por lo cual se produce un sobreflujo 2 22 Sumamos 7 (-6) = representados en 4 bits en complemento a 2 s El resultado es un número positivo = por lo cual no se produce sobreflujo El sobreflujo solo se detecta en operaciones con signo En la arquitectura MIPS, se distingue entre estas dos representaciones por medio de adicional la letra u a las instrucciones para indicar que no se requiere detectar overflow add, addi sub realizan operaciones con signo addu, addiu, subu no consideran el signo

5 /3/22 Las operaciones lógicas que se realizan incluen Corrimiento Izquierdo Corrimiento derecho ND bit por bit OR bit por bit Unidad ritmética Lógica (LU) es el corazón del datapath se encarga de realizar todas las operaciones aritméticas lógicas Debido a que la arquitectura que estamos estudiando es de 32-bits necesitamos una LU que opere números de 32-bits Esta LU será construida a partir de LUs de bit Las operaciones lógicas son fáciles porque se mapean directamente a compuertas Compuerta ND Compuerta OR Inversor C D E Para decidir entre que operación deseamos realizar, se utiliza un multipleor Y CTRL CTRL CTRL Y CTRL CTRL Y Y 29 Diseño de LU con dos operaciones: ND OR operación 3 5

6 s s s /3/22 c s c s Sum Sum (a) The four possible cases (a) The four possible cases (a) The four possible cases c s Sum c (c) Circuit (b) Truth table c (b) Truth table Sum s c Sum (c) Circuit H (d) Graphical smbol c (b) Truth table s c s c Sum H 3 (d) Graphical s C in C out S S C out C in C in C in 32 s s s s w w w 2 w 3 f s w s (a) Graphic smbol w f w w w 2 s s f w w w 2 w 3 w 3 (c) Circuit w 2 w 3 f (b) Truth table Nuestro diseño de LU de -bit incluendo las siguientes operaciones ND OR SUM -bit LU -bit LU 3 3 -bit LU

7 /3/22 Para incluir la resta dentro de la LU, debemos considerar como obtener el complemento a 2 s de un número Considere que pasaría si el primer carr de entrada fuera uno en lugar de cero. El resultado sería hora considere invertir el operando ( ) La resta puede ser implementada simplemente por medio de invertir el operando poner el carr de entrada a Inversión LU puede realizar: suma, resta, ND OR, pero nos falta implementar las comparaciones SLT (Set Than) slt $t,$t,$t2 t Si t t2 de otra manera 39 4 Considere la resta - Debemos poner el LS si a<b, es decir el resultado es negativo cero de otra manera, i.e., resultado positivo En complemento a 2 s el bit del signo es para números negativos para números positivos El bit del signo después de restar - puede darnos el resultado adecuado El sumador debe tener una salida etra en el bit mas significativo que debe ser retroalimentada al bit menos significativo

8 /3/22 Inversión Inversión Set Inversión -bit LU -bit LU Sobreflujo: el resultado es mu grande (o mu pequeño) para ser representado propiamente Ejemplo: - 8 < = números binarios de 4-bit <= 7 Sobreflujo ocurre cuando: 2 números positivos la suma es negativa 2 números negativos la suma es positiva Como ejercicio prueben que el sobreflujo puede ser detectado usando lo siguiente: carreo de entrada al MS carreo de salida del MS 3 3 -bit LU Set 3 45 = 7 = 3 = 6 = 4 = 5 = 7 46 into MS out of MS Para N-bit LU: overflow = Cin[N - ] XOR Cout[N - ] Cin Inversión bit LU Cin Cout -bit LU Cin2 Cout Cin3 -bit LU -bit LU Result Result Result2 Result3 X Y X XOR Y Overflow Set Overflow Cout

9 /3/22 Inversión -bit LU -bit LU Las instrucciones que nos falta considerar son los saltos condicionales beq branch if equal bne branch if not equal 3 3 -bit LU Overflow Set 3 En ambos casos se comparan dos registros la condición de salto es que los registros sean iguales o diferentes 49 5 La forma mas fácil de comprobar si dos números son iguales es restarlos Si son diferentes entonces Realmente lo que necesitamos agregar a nuestro diseño de LU es una manera de detectar cuando el resultado es cero La forma mas fácil de detectar el cero es: cero R 3 R3 R 5 52 Inversión -bit LU -bit LU 3 3 -bit LU Overflow Set Cero 3 Overflow Cero C out Sumador Completo C out Sumador Completo C out Sumador Completo = 3 = 2 = 5 C out Sumador Completo C in 53 S3 S2 S S 54 9

10 /3/22 Eamine la tabla de verdad del sumador completo Cin a b Cin Cout S c 4 g p c 3 g p c 2 g p c g p c a b Cout S Etapa Etapa Etapa Etapa Cout = a b Cin (a b) S = a b c a bc ab c abc = a b c In general, for bit i: c i = a i b i c i (a i b i ) where c i = Cout, c i- = Cin s 3 s 2 s s 55 Obtenga el acarreo de salida C i en terminos de las entradas principales: c i2 = a i b i c i (a i b i ) =a i b i (c i (a i b i ) a i b i ) (a i b i ) a i b i a i b i g p g p c i2 F i c i F i c i Creamos una funciones auiliares: S i S i c 2 c c Generación: g i = a i b i Propagación: p i = a i b i c = a b c (a b ) = g (p c ) c 2 = a b (a b ) (a b c (a b )) = g p g p p c G P G P G 2 P 2 G 3 P 3 Cin S S S2 S3 C =G C P C2 = G G P C P P C-out Kill C-in propaga C-in propaga genera P = or G = and C3 = G2 G P2 G P P2 C P P P2 C4 =... G P c g p c c g p c = g c p c g g p p c2 g p g2 c2 = g g p c p p p2 g3 p3 c4 c4 = g3 g2 p3 g p2 g p p2 c p p p2 p3

11 /3/22 C L 4-bit dder 4-bit dder C Carries are generated b CL, not RC adder G P C =G C P C2 = G G P C P P C3 = G2 G P2 G P P2 C P P P2 4-bit dder C4 =... G P 62 Divide Vencerás Formula soluciones en términos de componentes sencillos Diseña cada uno de los componentes (Sub-problemas) Generación Prueba Dada una colección de bloques de construcción, busca formas para integrarlos que alcancen los requerimientos Refinamiento (ejemplo, carr lookahead) Resuelve la maor parte del problema (i.e., ignora algunas restricciones o casos especiales), eamine corrige los problemas o desventajas que se te presenten. Trabaja en puntos que sabes como resolver Lo s puntos desconocidos serán obvios cuando empieces a progresar.

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla Circuitos electrónicos digitales Unidades Aritméticas Lógicas Índice Introducción Circuitos sumadores básicos Sumador paralelo de n bits Sumador/Restador Unidad aritmético-lógica (ALU) Introducción Los

Más detalles

LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO)

LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO) LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO) 2. ALGORITMOS UTILIZADOS PARA REALIZAR LAS OPERACIONES BASICAS (SUMA, RESTA, MULTIPLICACION

Más detalles

Sistemas numéricos -aritmética- Taller de programación

Sistemas numéricos -aritmética- Taller de programación Sistemas numéricos -aritmética- Taller de programación I semestre, 2016 Aritmética en sistemas numéricos Temas Precisión En computadoras todas las operaciones se dan entre números binarios con tamaño finito,

Más detalles

Circuitos combinacionales aritméticos (Parte II)

Circuitos combinacionales aritméticos (Parte II) Circuitos combinacionales aritméticos (Parte II) Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid Contenidos. Circuitos sumadores y restadores Ø Sumadores con

Más detalles

Computación 1. Representación Interna de Números

Computación 1. Representación Interna de Números Computación 1 Representación Interna de Números Contenido Representación de Enteros Sin Signo Representación de Enteros Con Signo con magnitud y signo exceso a M Complemento a 1 Números Enteros Representación

Más detalles

Tema 3: Operaciones aritméticas y lógicas

Tema 3: Operaciones aritméticas y lógicas Tema 3: Operaciones aritméticas y lógicas S Suma-resta en base dos S Operaciones lógicas: OR, AND, XOR y NOT S Operaciones de desplazamiento S Suma-resta en los diferentes sistemas de representación de

Más detalles

6.1. Operadores aritméticos en binario natural

6.1. Operadores aritméticos en binario natural 6.1. Operadores aritméticos en binario natural 6.1.1. Puertas OR-Exclusiva y circuitos de paridad [ Wakerly 5.8. pág. 410] 6.1.2. Comparadores [ Wakerly 5.9. pág. 419] 6.1.3. Sumadores, restadores y ALUs

Más detalles

LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales

LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales 1 LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales Objetivos Diseñar un circuito digital combinacional que permita realizar la suma de dos números binarios de 3 bits cada uno. Utilizar LEDs

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones

Más detalles

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos Fundamentos de Computadores Tema 5 Circuitos Aritméticos OBJETIVOS Conceptuales: Suma y resta binaria Implementaciones hardware/software Circuito sumador y semi-sumador básico Sumadores/restadores de n

Más detalles

Lógica Computacional. Aritmética binaria

Lógica Computacional. Aritmética binaria Lógica Computacional Aritmética binaria Aritmética binaria - Suma Para sumar dos (o más) números en sistema binario seguimos el mismo procedimiento que para sistema decimal, teniendo en cuenta que: 1 +

Más detalles

Los números naturales y enteros en el 80X86 y en LAN

Los números naturales y enteros en el 80X86 y en LAN Los números naturales y enteros en el 80X86 y en LAN 1. Los números naturales en el 80X86/TASM Representación Sistema de representación En el 80X86 (y en la mayoría de los procesadores), los números naturales

Más detalles

Realizar la siguiente suma y expresar el resultado en hexadecimal: Teniendo los 3 valores expresados en la misma base, podemos realizar la suma:

Realizar la siguiente suma y expresar el resultado en hexadecimal: Teniendo los 3 valores expresados en la misma base, podemos realizar la suma: Realizar la siguiente suma y expresar el resultado en hexadecimal: 83/ d + 33/ 4 + 0/ b El primer paso consiste en expresar todos lo valores con la misma base. Para eso convertiremos los dos primeros valores

Más detalles

MATEMÁTICAS PARA LA COMPUTACIÓN CAPÍTULO 1. SISTEMAS NUMÉRICOS

MATEMÁTICAS PARA LA COMPUTACIÓN CAPÍTULO 1. SISTEMAS NUMÉRICOS MATEMÁTICAS PARA LA COMPUTACIÓN CAPÍTULO 1. SISTEMAS NUMÉRICOS SUMA DE DOS CANTIDADES EN COMPLEMENTO A 2. AUTOR: JOSÉ ALFREDO JIMÉNEZ MURILLO AVC APOYO VIRTUAL PARA EL CONOCIMIENTO SUMA DE DOS CANTIDADES

Más detalles

Aritmética de Enteros

Aritmética de Enteros Aritmética de Enteros La aritmética de los computadores difiere de la aritmética usada por nosotros. La diferencia más importante es que los computadores realizan operaciones con números cuya precisión

Más detalles

Computación I Representación Interna Curso 2011

Computación I Representación Interna Curso 2011 Computación I Representación Interna Curso 2011 Facultad de Ingeniería Universidad de la República Temario Representación de Números Enteros Representación de Punto Fijo Enteros sin signo Binarios puros

Más detalles

Sistemas numéricos -números negativos- Taller de programación

Sistemas numéricos -números negativos- Taller de programación Sistemas numéricos -números negativos- Taller de programación I semestre, 2016 Números negativos Temas Números binarios negativos Problema: cómo representar números negativos en un mecanismo computacional?

Más detalles

Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores

Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 1: Introducción Tema 2: Sistema binario de representación numérica Pablo Huerta Pellitero ÍNDICE Bibliografía.

Más detalles

TEMA 6 UNIDAD ARITMÉTICO LÓGICA

TEMA 6 UNIDAD ARITMÉTICO LÓGICA FUNDMENTOS DE TEM 6 UNIDD RITMÉTICO LÓGIC. OPERDORES LÓGICOS. 2. PROPIEDDES DE L UL. 3. OPERDORES DE DESPLZMIENTO. Desplazamientos lógicos. Desplazamientos circulares. Desplazamientos aritméticos. 4. OPERCIONES

Más detalles

Organización de Computadoras. Clase 2

Organización de Computadoras. Clase 2 Organización de Computadoras Clase 2 Temas de Clase Representación de datos Números con signo Operaciones aritméticas Banderas de condición Representación de datos alfanuméricos Notas de Clase 2 2 Representación

Más detalles

k k N b Sistemas Númericos Sistemas con Notación Posicional (1) Sistemas con Notación Posicional (2) Sistemas Decimal

k k N b Sistemas Númericos Sistemas con Notación Posicional (1) Sistemas con Notación Posicional (2) Sistemas Decimal Sistemas con Notación Posicional (1) Sistemas Númericos N b = a n-1 *b n-1 + a n-2 *b n-2 +... + a 0 *b 0 +a -1 *b - 1 + a -2 *b -2 +... + a -m *b -m Sistemas con Notación Posicional (2) N b : Número en

Más detalles

OPERACIONES BÁSICAS BINARIAS

OPERACIONES BÁSICAS BINARIAS Oscar Ignacio otero H. OPERCIONES ÁSICS INRIS Son circuitos lógicos combinacionales que pueden realizar operaciones de suma, resta, multiplicación y división. SUM INRI Se suman los dos términos sumandos

Más detalles

SUMADOR RESTADOR DE 3 BITS EN BINARIO NATURAL.

SUMADOR RESTADOR DE 3 BITS EN BINARIO NATURAL. SUMADOR RESTADOR DE 3 BITS EN BINARIO NATURAL. Sabemos que a un de n bits, haciéndole un pequeño cambio, lo podemos convertir en y restador. Simplemente se complementan a los bits del sustraendo y además

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas FUNDAMENTOS DE SISTEMAS DIGITALES Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas 1 Programa 1. Representación conjunta de números positivos y negativos. 2. Sumadores y restadores. 3. Sumadores

Más detalles

SUMADORES Y COMPARADORES

SUMADORES Y COMPARADORES Universidad Nacional de Quilmes Diplomatura en Ciencia y Tecnología Circuito semisumador de un bit. TÉCNICAS DIGITALES Los circuitos sumadores entregan 2 datos: suma (S) y acarreo (A), y, este circuito

Más detalles

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select. Sumadores En electrónica un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan

Más detalles

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTICO- LÓGICA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTICO- LÓGICA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ ARQUITETURAS DE OMUTADORES 2º URSO INGENIERÍA TÉNIA EN INFORMÁTIA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTIO- LÓGIA JOSÉ GARÍA RODRÍGUEZ JOSÉ ANTONIO SERRA ÉREZ Tema 3. La Unidad entral de roceso. A.L.U. 1 La

Más detalles

UNIVERSIDAD DE CORDOBA ESTRUCTURA DE COMPUTADORAS UNIDAD III

UNIVERSIDAD DE CORDOBA ESTRUCTURA DE COMPUTADORAS UNIDAD III ARITMETICA PARA COMPUTADORAS 1.- INTRODUCCION Objetivo Estudio de uno de los Componentes Clásicos de una Computadora Unidad Aritmético Lógica (ALU) Partes a estudiar Representación de los números negativos

Más detalles

Tema 2: Sistemas y códigos numéricos

Tema 2: Sistemas y códigos numéricos Tema 2: Sistemas y códigos numéricos Sistemas numéricos posicionales En este sistema la posición de cada digito tiene un peso asociado. El valor de un número es una suma ponderada de los dígito, por ejemplo:

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Enunciados de problemas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Tema 3: Hoja: 2 / 18 Tema 3: Hoja: 3 / 18 Base teórica

Más detalles

Aritmética del computador

Aritmética del computador Aritmética del computador Montse Bóo Cepeda Este trabajo está publicado bajo licencia Creative Commons Attribution- NonCommercial-ShareAlike 2.5 Spain. Estructura del curso. Evolución y caracterización

Más detalles

Sistemas numéricos. Sistemas numéricos. Notación posicional o ponderada. Números en base 10. Notación posicional regular. Notación posicional regular

Sistemas numéricos. Sistemas numéricos. Notación posicional o ponderada. Números en base 10. Notación posicional regular. Notación posicional regular Sistemas numéricos Prof. Mario Medina mariomedina@udec.cl Sistemas numéricos Representación posicional Números en ase Números en ases, y 6 Conversión de enteros y decimales entre ases Operaciones aritméticas

Más detalles

Práctica 3 - Aritmética del Computador

Práctica 3 - Aritmética del Computador Práctica 3 - ritmética del Computador Organización del Computador 1 Verano 2014 Ejercicio 1 a. 3174 (8) 0522 (8) b. 4165 (8) 1654 (8) i) Cuánto es +, si representan enteros sin signo de 12-bits en base

Más detalles

REPRESENTACION DE LA INFORMACION

REPRESENTACION DE LA INFORMACION CAPITULO SEGUNDO. REPRESENTACION DE LA INFORMACION Estructura de Ordenadores. Departamento de Automática Juana Mª López Dpto. Automática. Estructura de computadores. Capítulo 2. Página 1 INTRODUCCION Clasificación

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y Introducción Circuitos Bloques Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires

Más detalles

4. Aritmética y operadores

4. Aritmética y operadores Fundamentos de Computadores Ingeniería de Telecomunicación Departamento de Automática Escuela Politécnica Superior Curso académico 2009 2010 Contenidos 1 Aritmética y lógica 2 3 4 Introducción Aritmética

Más detalles

Fundamentos de los Computadores Grado en Ingeniería Informática

Fundamentos de los Computadores Grado en Ingeniería Informática 3.1 Circuitos aritmético-lógicos Fundamentos de los Computadores Grado en Ingeniería Informática Introducción La realización de operaciones aritméticas y lógicas es una de las principales i razones de

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

LÓGICA SECUENCIAL Y COMBINATORIA

LÓGICA SECUENCIAL Y COMBINATORIA LÓGICA SECUENCIAL Y COMBINATORIA SESIÓN # 2 1.4 Conversión de otra base a decimal. En los sistemas numéricos posicionales, la conversión de otra base a decimal se hace con el método de la suma [3]. Este

Más detalles

Operaciones en Datos

Operaciones en Datos Universidad Nacional de Ingeniería Facultad de Ciencias Introducción a la Ciencia de la Computación Operaciones en Datos Prof: J. Solano 2011-I Objetivos Despues de estudiar este cap. el estudiante sera

Más detalles

https://dac.escet.urjc.es/docencia/etc-sistemas/teoria-cuat1/tema2.pdf

https://dac.escet.urjc.es/docencia/etc-sistemas/teoria-cuat1/tema2.pdf 1.3 Sistemas numéricos 1.3.1. Introducción Un sistema de representación numérica es un lenguaje que consiste en: Un conjunto ordenado de símbolos (dígitos o cifras) y otro de reglas bien definidas para

Más detalles

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Lógicos Combinatorios Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Combinatorios Un circuito combinatorio es un arreglo de compuertas lógicas con un conjunto de entradas y salidas.

Más detalles

Ya repasamos el repertorio de instrucciones MIPS, que como observamos, es lo suficientemente completo como para implementar cualquier programa.

Ya repasamos el repertorio de instrucciones MIPS, que como observamos, es lo suficientemente completo como para implementar cualquier programa. UNIDAD 3: Aritmética de las computadoras. 3.1. Introducción Hasta el momento hemos estudiado algunas métricas para la obtención del rendimiento (segundos, ciclos, instrucciones). También estudiamos el

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Dr. Marcelo Risk Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires 2017 Lógica

Más detalles

CIRCUITOS DIGITALES UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ING. CRISTIAN FLORES ALUMNO: TITO GUASCO FECHA:

CIRCUITOS DIGITALES UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ING. CRISTIAN FLORES ALUMNO: TITO GUASCO FECHA: CIRCUITOS DIGITALES 2011 UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ALUMNO: FECHA: ING. CRISTIAN FLORES TITO GUASCO 11-10-2011 2 CIRCUITOS DIGITALES TEMA: COMPUERTAS LOGICAS Las computadoras

Más detalles

10.1. Determinación de ecuaciones lógicas para las condiciones o flags.

10.1. Determinación de ecuaciones lógicas para las condiciones o flags. Capítulo 0. Unidad Aritmética. 0.. Determinación de ecuaciones lógicas para las condiciones o flags. Se pueden obtener las ecuaciones de un sumador de ancho n, mediante inducción matemática. Analizaremos

Más detalles

Multiplicación. Multiplicación. Martín Vázquez Arquitectura I - Curso 2013 UNICEN. Notación dot

Multiplicación. Multiplicación. Martín Vázquez Arquitectura I - Curso 2013 UNICEN. Notación dot Multiplicación Martín Vázquez Arquitectura I - Curso 23 UNICEN Multiplicación 2 Multiplicación p b 3.a. 3 b 2.a. 2 b.a. b.a. b x a Notación dot p b 3.a.2 3 b 2.a.2 2 b.a.2 b.a.2 b x a Multiplicación decimal

Más detalles

Tema 2: Sistemas de numeración

Tema 2: Sistemas de numeración Tema 2: Sistemas de numeración Definiciones Bases de numeración Modos de representación Representaciones numéricas Coma fija (números enteros) Suma-resta en base dos Representaciones alfanuméricas Definiciones

Más detalles

Figura 1: Suma binaria

Figura 1: Suma binaria ARITMÉTICA Y CIRCUITOS BINARIOS Los circuitos binarios que pueden implementar las operaciones de la aritmética binaria (suma, resta, multiplicación, división) se realizan con circuitos lógicos combinacionales

Más detalles

Las características que definen un sistema de representación alfanumérico son:

Las características que definen un sistema de representación alfanumérico son: FUNDAMENTOS DEL MATERIAL INFORMÁTICO Tema 5 Representación de la Información. Sistemas Aritméticos Agustín Álvarez Marquina Representaciones alfanuméricas. Código ASCII extendido (I) La representación

Más detalles

Ejercicios resueltos de Organización de Computadoras

Ejercicios resueltos de Organización de Computadoras Ejercicios resueltos de Organización de Computadoras 2017 Información del instructor Instructor Correo electrónico Ubicación y horarios Ing. Dario Kiryczun Información general Descripción La siguiente

Más detalles

Práctica 1. Sistemas de Numeración y Representación de la Información

Práctica 1. Sistemas de Numeración y Representación de la Información Práctica 1 UNlVERSlDAD DE BUENOS AIRES FACULTAD DE CIENCIAS EXACTAS Y NATURALES Organización Del Computador I Práctica I Sistemas de Numeración y Representación de la Información - Alcance Unidad 3.1 Sistemas

Más detalles

INGENIERIA ELECTRÓNICA

INGENIERIA ELECTRÓNICA COM PUT DORES INGENIERI ELECTRÓNIC SIGNTUR: ELECTRÓNIC DIGITL I Profesor: LUIS CRLOS LSPRILL TOVR Facultad de Ingeniería Electrónica CIRCUITOS INTEGRDOS TRNSISTORES DIODOS FLYCKS Laboratorio * Ingenieria

Más detalles

+- S x B +-E. Este estándar presupone una representación normalizada. Es decir, los números a representar obedecen a la siguiente forma:

+- S x B +-E. Este estándar presupone una representación normalizada. Es decir, los números a representar obedecen a la siguiente forma: 3.6 Codificación Punto Flotante Esta codificación nace por la necesidad de tener un rango más amplio de representatividad numérica, o cobertura. Los esquemas antes mencionados ofrecen un rango limitado

Más detalles

Aritmética de Enteros y

Aritmética de Enteros y 1 Aritmética de Enteros y Flotantes 2013 Transversal de Programación Básica Proyecto Curricular de Ingeniería de Sistemas 2 1. Introduccion La aritmética de enteros es aritmética modular en complemento

Más detalles

TRABAJO DE INVESTIGACION SOBRE LAS CONVERCIONES DE LOS SISTEMAS NUMERICOS JIMMY DADNOVER ROZO GUERRERO

TRABAJO DE INVESTIGACION SOBRE LAS CONVERCIONES DE LOS SISTEMAS NUMERICOS JIMMY DADNOVER ROZO GUERRERO TRABAJO DE INVESTIGACION SOBRE LAS CONVERCIONES DE LOS SISTEMAS NUMERICOS JIMMY DADNOVER ROZO GUERRERO UNISANGIL LOGICA DE PROGRAMACION INGENIERIA DE SISTEMAS CHIQUINQUIRA BOY 2015 P á g i n a 1 19 TRABAJO

Más detalles

Tipos de Datos y Representaciones. Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC.

Tipos de Datos y Representaciones. Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC. Tipos de Datos y Representaciones Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC. Índice 1. Sistemas numéricos posicionales 2. Números octales y hexadecimales 3. Conversiones entre

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

Práctica 1 - Representación de la información

Práctica 1 - Representación de la información Práctica 1 - Representación de la información Organización del Computador 1 Primer Cuatrimestre 2014 Ejercicio 1 a) Utilizando el método del cociente, expresar en bases 2, 3 y 5 los números 33, 100 y 1023.

Más detalles

HOJA DE PROBLEMAS 2. SISTEMA BINARIO DE REPRESENTACIÓN NUMÉRICA. 1. Convertir los siguientes números binarios a sus equivalentes decimales: a.

HOJA DE PROBLEMAS 2. SISTEMA BINARIO DE REPRESENTACIÓN NUMÉRICA. 1. Convertir los siguientes números binarios a sus equivalentes decimales: a. Universidad Rey Juan Carlos Grado en Ingeniería de Computadores Tecnología de Computadores HOJA DE PROBLEMAS 2. SISTEMA BINARIO DE REPRESENTACIÓN NUMÉRICA 1. Convertir los siguientes números binarios a

Más detalles

Tema 2: Sistemas de numeración

Tema 2: Sistemas de numeración Tema 2: Sistemas de numeración Definiciones Bases de numeración Modos de representación Representaciones numéricas Coma fija (números enteros) Suma-resta en base dos Representaciones alfanuméricas Bibliografía

Más detalles

Organización de Computadoras Apunte 1: Sistemas de Numeración: Sistemas Enteros y Punto Fijo

Organización de Computadoras Apunte 1: Sistemas de Numeración: Sistemas Enteros y Punto Fijo Organización de Computadoras 2003 Apunte 1: Sistemas de Numeración: Sistemas Enteros y Punto Fijo Los siquientes son ejercicios resueltos sobre sistemas enteros y punto fijo. Conversiones entre los distintos

Más detalles

Suma Resta Multiplica. División Alg. Boole Tbla Verdad Circuitos Karnaugh

Suma Resta Multiplica. División Alg. Boole Tbla Verdad Circuitos Karnaugh Sistemas de Numeración Operaciones Aritméticas Con SIGNO 2007-0808 Sistemas de Numeración 1 Suma SUMA: Cuatro posibles casos: AyBsonpositivos => >A+B> >= 0 A y B son negativos => A+B < 0 A positivo y B

Más detalles

OPERACIONES CON NÚMEROS BINARIOS

OPERACIONES CON NÚMEROS BINARIOS OPERACIONES CON NÚMEROS BINARIOS Centro CFP/ES SUMA BINARIA La información tenemos que transformarla, compararla y procesarla. Para ello empleamos la aritmética binaria, es decir, procesos matemáticos

Más detalles

ELO311 Estructuras de Computadores Digitales. Unidad Aritmética

ELO311 Estructuras de Computadores Digitales. Unidad Aritmética ELO3 Estructuras de Computadores Digitales Unidad Aritmética Tomás Arredondo Vidal Este material está basado en: material de apoyo del texto de David Patterson, John Hennessy, "Computer Organization &

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

Representación de la Información en un computador. Ingeniería de Sistema y Automática Universidad de Valladolid

Representación de la Información en un computador. Ingeniería de Sistema y Automática Universidad de Valladolid Representación de la Información en un computador Ingeniería de Sistema y Automática Universidad de Valladolid Índice Sistemas de numeración: Binarios Octales Hexadecimales Operaciones. Transformaciones

Más detalles

Organización de computadoras. Clase 1. Universidad Nacional de Quilmes. Lic. Martínez Federico

Organización de computadoras. Clase 1. Universidad Nacional de Quilmes. Lic. Martínez Federico Organización de computadoras Clase 1 Universidad Nacional de Quilmes Lic. Martínez Federico Qué pasó? Qué pasó? Binario Qué pasó? Binario Interpretación Qué pasó? Binario Interpretación Representación

Más detalles

5.2. Sistemas de codificación en binario

5.2. Sistemas de codificación en binario 5.2. Sistemas de codificación en binario 5.2.1. Sistemas numéricos posicionales [ Wakerly 2.1 pág. 26] 5.2.2. Números octales y hexadecimales [ Wakerly 2.2 pág. 27] 5.2.3. Conversión general de sistemas

Más detalles

TEMA V SISTEMAS DE NUMERACIÓN

TEMA V SISTEMAS DE NUMERACIÓN TEMA V SISTEMAS DE NUMERACIÓN En la vida diaria el hombre se expresa, se comunica, almacena y maneja información desde el punto de vista alfabético con un determinado idioma y desde el punto de vista numérico

Más detalles

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras 1121025 Arquitecaura de Computadoras - Introducción a la Arquitectura de Computadoras Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma

Más detalles

PROBLEMAS DE ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES I

PROBLEMAS DE ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES I 1) Convertir los siguientes números a sus correspondientes representaciones en las bases 2,, 5 y 16: a) 465 10 b) 24 8 c) 4287 10 2) Pasar los siguientes números binarios a base octal y hexadecimal: a)

Más detalles

Agenda. 0 Operaciones aritméticas 0 ASCII 0 UTF-8 0 Código Gray. 0 Números de punto flotante

Agenda. 0 Operaciones aritméticas 0 ASCII 0 UTF-8 0 Código Gray. 0 Números de punto flotante Agenda 0 Operaciones aritméticas 0 ASCII 0 UTF-8 0 Código Gray 0 BCD 0 Números de punto flotante Operaciones aritméticas Suma de números binarios 0 0 1 1 + 0 + 1 + 0 + 1 0 1 1 10 1 Sumando + 1 Sumando

Más detalles

Arquitectura de Computadores. Resumen para Certamen 2 Por Franco Zuccar

Arquitectura de Computadores. Resumen para Certamen 2 Por Franco Zuccar Arquitectura de Computadores Resumen para Certamen 2 Por Franco Zuccar Componentes básicos Compuertas logicas (AND, OR, NOT, XOR, NAND y NOR) Flip-Flop s (SR, JK, D y T) Los circuitos combinacionales se

Más detalles

TEMA III: OPERACIONES CON LOS DATOS

TEMA III: OPERACIONES CON LOS DATOS CUESTIONES A TRATAR: Cual es la función de la unidad operativa? Es necesaria? Qué tipos de circuitos implementan la unidad operativa? Unidad operativa frente a ALU Qué es una operación de múltiple precisión?

Más detalles

TEMA II SISTEMAS DE NUMERACIÓN USUALES EN INFORMÁTICA.

TEMA II SISTEMAS DE NUMERACIÓN USUALES EN INFORMÁTICA. TEMA II SISTEMAS DE NUMERACIÓN USUALES EN INFORMÁTICA. INTRODUCCIÓN. Codificación de la información. Codificación consiste en representar los elementos de un conjunto mediante los elementos de otro conjunto.

Más detalles

Realizar las siguientes operaciones en c-a-2 utilizando el número mínimo de bits necesario para que no haya desbordamiento: 3 + 7, 5 7, 13 8, 10 6.

Realizar las siguientes operaciones en c-a-2 utilizando el número mínimo de bits necesario para que no haya desbordamiento: 3 + 7, 5 7, 13 8, 10 6. Problemas Propuestos Pasar de base 2 a base 10: (1011010) 2, (0100111001) 2 Pasar de base 10 a base 2: 21, 58, 73, 142, 196, 273 Pasar de base 10 a base 2, octal y hexadecimal: 35, 97 Pasar a base 2 y

Más detalles

2. Números naturales: sistema de numeración y operaciones básicas

2. Números naturales: sistema de numeración y operaciones básicas INTRODUCCIÓN A LOS COMPUTADORES 2003-2004 Objetivos Formativos Que el alumno sea capaz de: Comprender el funcionamiento y saber diseñar los circuitos digitales combinacionales y secuenciales que se utilizan

Más detalles

Estructura de Computadores Tema 2. Representación de la información

Estructura de Computadores Tema 2. Representación de la información Estructura de Computadores Tema 2. Representación de la información Departamento de Informática Grupo de Arquitectura de Computadores, Comunicaciones y Sistemas UNIVERSIDAD CARLOS III DE MADRID Contenido!

Más detalles

Tema 5: Álgebra de Boole Funciones LógicasL

Tema 5: Álgebra de Boole Funciones LógicasL Tema 5: Álgebra de Boole Funciones LógicasL Ingeniería Informática Universidad Autónoma de Madrid 1 Álgebra de Boole.. Funciones LógicasL O B J E T I V O S Conocer el Álgebra de Boole, sus teoremas y las

Más detalles

Sistemas Numéricos. Introducción n a los Sistemas Lógicos y Digitales 2009

Sistemas Numéricos. Introducción n a los Sistemas Lógicos y Digitales 2009 Sistemas Numéricos Introducción n a los Sistemas Lógicos y Digitales 2009 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2009 MSB = Most Significative Bit LSB = Less Significative Bit

Más detalles

TEMA 6. Circuitos Aritméticos.

TEMA 6. Circuitos Aritméticos. Fundamentos de los Computadores. Circuitos Aritméticos T6- TEMA 6. Circuitos Aritméticos. INDICE: OPERACIONES EN EL SISTEMA BINARIO CIRCUITOS SUMADORES CIRCUITOS RESTADORES UNIDADES LÓGICO ARITMÉTICAS

Más detalles

Laboratorio de Arquitectura de Redes. Operadores y expresiones en lenguaje C

Laboratorio de Arquitectura de Redes. Operadores y expresiones en lenguaje C Laboratorio de Arquitectura de Redes Operadores y expresiones en lenguaje C Operadores y expresiones en lenguaje C Expresiones numéricas y operadores Operadores aritméticos Operadores lógicos y de relación

Más detalles

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica Problemas propuestos en examen PROBLEMAS TEMA 4: Unidad Aritmético Lógica 4.1 Se desea realizar una Unidad Aritmético Lógica que realice dos operaciones, suma y comparación de dos números X (x 2 ) e Y

Más detalles

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 DOCENTE(S) DEL AREA:NILSON YEZID VERA CHALA COMPETENCIA: USO Y APROPIACION DE LA TECNOLOGIA NIVEL DE COMPETENCIA: INTERPRETATIVA

Más detalles

Organización de Computadoras. Clase 1

Organización de Computadoras. Clase 1 Organización de Computadoras Clase 1 Bibliografía y web de cátedra Organización y Arquitectura de Computadoras Diseño para optimizar prestaciones, Stallings W., Editorial Prentice Hall (5º edición). Organización

Más detalles

Universidad Autónoma de Baja California

Universidad Autónoma de Baja California Universidad Autónoma de Baja California Facultad de Ingeniería, Arquitectura y Diseño Práctica de laboratorio Programa educativo Plan de estudio Clave asignatura Nombre de la asignatura Bioingeniería 2009-2

Más detalles

ELO311 Estructuras de Computadores Digitales. Algoritmos de Multiplicación y División

ELO311 Estructuras de Computadores Digitales. Algoritmos de Multiplicación y División ELO311 Estructuras de Computadores Digitales Algoritmos de Multiplicación y División Tomás Arredondo Vidal Este material está basado en: material de apoyo del texto de David Patterson, John Hennessy, "Computer

Más detalles

Representación digital de la información

Representación digital de la información Tema 1: Representación digital de la información Fundamentos de computadores José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática Universidad Complutense de Madrid 2 Introducción

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 3 Objetivos educacionales: 1. Desarrollar la habilidad de trabajar con aritmética binaria, en complemento de 1, de 2 y BCD 2. Conocer y entender los principios de códigos

Más detalles

SISTEMAS DE NUMERACION

SISTEMAS DE NUMERACION SISTEMAS DE NUMERACION INTRODUCCION El número de dígitos de un sistema de numeración es igual a la base del sistema. Sistema Base Dígitos del sistema Binario 2 0,1 Octal 8 0,1,2,3,4,5,6,7 Decimal 10 0,1,2,3,4,5,6,7,8,9

Más detalles

Tabla 5.2 Compuertas básicas A B A B A B

Tabla 5.2 Compuertas básicas A B A B A B Compuertas lógicas Un bloque lógico es una representación simbólica gráfica de una o más variables de entrada a un operador lógico, para obtener una señal determinada o resultado. Los símbolos varían de

Más detalles

Arquitectura de Computadoras 2015 Práctico 03. Práctico 3. Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios.

Arquitectura de Computadoras 2015 Práctico 03. Práctico 3. Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios. Práctico 3 Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios. Objetivo Conocer y entrenarse en las técnicas para la construcción de circuitos combinatorios de mediano porte. Conocer

Más detalles

Realizar las siguientes operaciones en c-a-2 utilizando el número mínimo de bits necesario para que no haya desbordamiento: 3 + 7, 5 7, 13 8, 10 6.

Realizar las siguientes operaciones en c-a-2 utilizando el número mínimo de bits necesario para que no haya desbordamiento: 3 + 7, 5 7, 13 8, 10 6. Problemas Propuestos Pasar de base 2 a base 10: (1011010) 2, (0100111001) 2 Pasar de base 10 a base 2: 21, 58, 73, 142, 196, 273 Pasar de base 10 a base 2, octal y hexadecimal: 35, 97 Pasar a base 2 y

Más detalles

Lógica Digital - Circuitos Combinatorios

Lógica Digital - Circuitos Combinatorios Lógica Digital - Circuitos Combinatorios Expositor: Esteban Pontnau Primer Cuatrimestre de 2012 Departamento de Computación, FCEyN,Universidad de Buenos Aires. 3 de abril de 2012 Objetivos de la clase

Más detalles

Sistemas Numéricos y Códigos Binarios

Sistemas Numéricos y Códigos Binarios Sistemas Numéricos y Códigos Binarios Marcelo Guarini Departamento de Ingeniería Eléctrica, 5 de Abril, 5 Sistemas Numéricos en Cualquier Base En el sistema decimal, cualquier número puede representarse

Más detalles

b 1 +a 0 < b ; b=base Forma abreviada: a p-2 ) b Un número se representa por un conjunto de cifras (a i a a 1

b 1 +a 0 < b ; b=base Forma abreviada: a p-2 ) b Un número se representa por un conjunto de cifras (a i a a 1 SISTEMAS DE NUMERACIÓN: La representación de un número en la base b (b>1) utiliza para representar los números un alfabeto formado por el 0 y b-1 símbolos : Un número real N, de p dígitos enteros y q dígitos

Más detalles