INTRODUCCION A LAS TECNICAS DIGITALES 1

Tamaño: px
Comenzar la demostración a partir de la página:

Download "INTRODUCCION A LAS TECNICAS DIGITALES 1"

Transcripción

1 INTRODUCCION LS TECNICS DIGITLES SISTEMS ELECTRONICOS NLOGICOS DIGITLES DIGITLES: *INFORMCION CODIFICD EN DOS UNICOS ESTDOS *SE S EN EL LGER OOLEN *LOS SISTEMS DIGITLES PUEDEN CLSIFICRSE EN: - SISTEMS CLEDOS SISTEMS PROGRMDOS COMINCIONLES DISP LÓGICOS PROG SECUENCILES MICROPROCESDORES MEMORIS MICROCONTROLDORES CONVERTIDORES

2 PROGRM TECNICS DIGITLES CPÍTULO : ÁLGER DE OOLE Teoremas y Postulados CPÍTULO 2: FUNCIONES LÓGICS y MINIMIZCIÓN Funciones Canónicas T:V Formas Standard Conceptos Métodos algebraicos y Gráicos CPÍTULO 3: SISTEM DE NUMERCIÓN Y CÓDIGOS Diversos sistemas de Numeración Códigos detectores y correctores CPÍTULO 4: RITMÉTIC INRI Sumadores Restadores Comparadores LU CPÍTULO 5: DECODIFICDORES Y DEMUX Diseño y síntesis circuitales

3 PROGRM TECNICS DIGITLES CPÍTULO 6: TECNOLOGÍ Flia CMOS PLD Display CPÍTULO 7: CONTDORES Y REGISTROS iestables Contadores Registro CPÍTULO 8: CIRCUITOS DE TIEMPO Diseño de osciladores Temporizadores CPÍTULO 9: CIRCUITOS SECUENCILES Diseño y Síntesis de utómatas CPÍTULO : MEMORIS Y USES NOT: En cada uno de los capitulos se va introduciendo VHDL La simulación y Síntesis se realiza con el programa OOLE-DEUSTO

4 ILIOGRFI TECNICS DIGITLES I : Rodolo Cavallero DISEÑO LOGICO DIGITL CON VHDL : S. rown Z Vranesic DISEÑO DE SISTEMS DIGITLES CON VHDL : S.Perez E. Soto DISEÑO DIGITL, PRINCIPIOS Y PRCTICS : John Wakerly GUIS DE ESTUDIO DE L CTEDR : Página Web de la Cátedra CUDERNILLOS DE CTEDR: utogestión

5 CRONOGRM DE DESRROLLO DE CTIVIDDES CDEMICS Clase Nro. UNIDD TEM Álgebra de oole x x 2 Funciones Logicas y su minimización x x x 3 Sistemas de Numeración y Códigos x x x 4 ritmética inaria x x 5 Codiicadores-Decodiicadores Multiplexores/Demux x x x 6 Tecnologia x x x x UNIDD Clase Nro TEM 7 iestables, Contadores y Registros x x x x 8 Circuitos de tiempo x x x x 9 Circuitos Secuenciales x x x x Memorias y Estructura de uses x x x R

6 FUNCIONMIENTO RELE

7 SISTEMS DIGITLES CONINCIONLES SECUENCILES

8 SISTEMS DIGITLES CONINCIONLES SECUENCILES

9 SISTEMS DIGITLES CONINCIONLES SECUENCILES

10 SISTEMS DIGITLES CONINCIONLES SECUENCILES

11 SISTEMS DIGITLES CONINCIONLES SECUENCILES

12 SISTEMS DIGITLES CONINCIONLES SECUENCILES

13 SISTEMS DIGITLES CONINCIONLES SECUENCILES

14 SISTEMS DIGITLES CONINCIONLES SECUENCILES

15 SISTEMS DIGITLES CONINCIONLES SECUENCILES

16 SISTEMS DIGITLES CONINCIONLES SECUENCILES

17 SISTEMS DIGITLES CONINCIONLES SECUENCILES

18 SISTEMS DIGITLES CONINCIONLES SECUENCILES

19 LGER DE OOLE CLSE: Es un conjunto compuesto por ELEMENTOS suceptibles de poseer ciertas propiedades y tener entre ellos, o con elementos de otros conjuntos, ciertas relaciones CLSE UNIVERSL : ( U = ) Es el conjunto de todos los elementos que se van a tratar, CLSE VCI: ( ) No contiene ningun elemento OPERCIONES OOLENS ELEMENTOS CON PROPIEDD p CONJUNTO ELEMENTOS CON PROPIEDD q CONJUNTO COINCIDENCI UNION m =. M = +

20 DIGRMS DE VENN (Deinamos un Universo) DEFINMOS DOS CONJUNTOS Y HOMRES JOS HOMRES OESOS COINCIDENCI m =. UNION M = + m = JOS Y OESOS M = JOS O OESOS

21 POSTULDOS DEL LGRER DE OOLE DIGRM DE VENN ( DULIDD) + =. = + =. = + =. = = PROPIEDD CONMUTTIV PROPIEDD DISTRIUTIV + = + ( + C) = + C. =. + C N = (+)(+C) (+N) PROPIEDD DE INVRINCI PROPIEDD DEL COMPLEMENTO + = + = = U. =. =

22 TEOREMS DEL LGRER DE OOLE PRINCIPIO DE DULIDD: SE OTIENE UN EXPRESION DUL SI: (COMPROR EN POSTULDOS) (+) (.) ( ) () SORCION + CD N =. (++C+ N) = SOCITIV + (+C) = ( + ) + C..C = (.).C NEGCION DE MORGN = + =. =, =. = +

23 TEOREM DE DEMORGN VENN Observe: m 3 = M con lo que :. = +

24 FUNCION OR DISPLY F F SIMOLO LÓGICO OR F = +

25 FUNCION ND DISPLY F F SIMOLO LOGICO ND F =.

26 CIRCUITO NOT TV SIMOLO LOGICO - NOT CIRCUITO NOR TV SIMOLO LOGICO - NOR = + CIRCUITO NND TV SIMOLO LOGICO -NND =.

27 TV SIMOLO LOGICO O-EXCLUSIV = + + TV SIMOLO LOGICO O-EXCLUSIV NEGD (COMPRDOR DE IGULDD) = +

28 VHDL El lenguaje de programación VHDL (Very High Speed Integrated Circuit Hardware Description Languaje) es un lenguaje que describe el comportamiento del circuito, es decir describe el hardware En la Fig se observan los tres estilos de descripción ESTILOS DE DESCRIPCIÓN EN VHDL COMPORTMENTL (EHVIOR) LGORITMICO FLUJO DE DTOS RTL ESTRUCTURL JERRQUICO Fig. Estilos de descripción VHDL VHDL Lenguaje para síntesis y modelado de circuitos Fernado Pardo y Jose oluda Editorial laomega VHDL - David Maxinez - Editorial C.E.C.S. Diseño de sistemas con VHDL Editorial Paranino

29 VHDL ESTRUCTUR SIC DE UN RCHIVO FUENTE VHDL ENCEZMIENTO Library <nombre_libreria> Use<nombre_librería>.<nombre_paquete>. all ENTIDD Entity<nombre_entidad>is <listado de puertos> --Declaración de pines end <nombre_entidad>; RQUITECTUR rchitecture<nombre_arquitectura> o<nombre_entidad> is --Declaracion de señales internas --Declaracion de tipos de datos deinidos por el usuario --Declaracion de componentes en caso de instanciación begin --Cuerpo de la arquitectura --Se deine la uncionalidad del diseño con: --signaciones concurrentes --Procesos --Instanciación de componentes end<nombre_arquitectura>;

30 VHDL ENTIDD Y RQUITECTUR entity puerta is port (x, x2: IN IT; :OUT IT); end puerta; x x2 PUERT architecture ND o puerta is begin <= x ND x2 end ND; x x2 Fig. 4 Entidad y rquitectura

31 Metodología para la resolución de problemas lógicos Diseño de un circuito combinacional Cuando se diseña un circuito lógico combinacional, debemos realizar los siguientes pasos :. Construccion de la Tabla de Verdad. 2. Desarrollo de la expresión lógica 3. simpliicación de la expresión lógica 4. Implementación eiciente Ejemplo : SISTEM DE LRM Un supermercado tiene dos cajeros y cada uno de ellos dispone de un interruptor de pedal conectado a un sistema de alarma. Cuando se pulsa uno de estos interruptores, o ambos, se prende una luz en la gerencia. Plantee el sistema lógico correspondiente. Deinición de las variables de entrada y su comportamiento. Cajero Interruptor = interruptor abierto. = interruptor cerrado. Cajero 2 Interruptor = interruptor abierto. = interruptor cerrado.

32 2 Deinición de las variables de salida y su comportamiento. Luz de gerencia Lámpara F = alarma desactivada. = alarma activada 3. Tabla de verdad y expresión lógica 4 Implementación Entradas Salida

33 METODOS DE OTENCION DE L FUNCIÓN COMO SUMTORI DE MINTERM Para obtener una unción de la TV se suman los MINTERM que hacen uno ( ) la unción y se los suma. Volvamos al sistema de alarma: mi m m = = m + m2 + m3 m2 m3 = Σ, 2, 3 SIMPLIFICNDO: = = ( + ) +. P2 = +. P4 = ( + ).( +) P2 = +

34 COMO PRODUCTO DE MXTERM Para obtener una unción expresada en MXTERM se toman lo terminos que hacen cero () la TV y se niegan sus variables (Luego a través de la unción complemento lo vamos a demostrar). Veamos el ejemplo del sistema de alarma: Mi M3 = + = + = M3 M2 M M Se niegan las variables

35 FUNCION COMPLEMENTO: = Σ mi QUE HCEN CERO L FUNCIÓN = = Π Mj Ejemplo: Mj mi C = m + m3 + m5 + m6 M7 m M6 m NEGNDO EL COMPLEMENTO M5 m2 = m + m3 + m5 + m6 M4 m3 M3 m4 POR DE MORGN M2 m5 = m. m3. m5. m6 M m6 M m7 = (..C ) (..C ) (..C ) (..C ) PLICNDO DE MORGN NUEVMENTE = ( + + C) ( + + C) ( + + C) ( + + C) = M7. M4. M2. M

36 RELCIÓN ENTRE LOS OPERDORES LÓGICOS ND NEGR SLID NND NEGR ENTRDS Y SLIDS NEGR ENTRDS NEGR ENTRDS Y SLIDS OR NEGR SLID NOR EJEMPLOS + +.

37 FORMS STNDRT DE UN FUNCIÓN DESCRIPCION PROLEM ND/OR TV ND/OR NND/ND OR/ND NND/NND OR/NND NOR/NOR EJEMPLO: = m + m2 NOR/OR = + ND/OR = = + =. = ( + )( + ) = ( + ) + ( + ) NND/NND OR/NND NOR/OR

38 EJEMPLOS OTENCION FUNCIONES Y VHDL X3 X2 X = m3 + m4 + m5 + m7 SIMPLIFICNDO : = x2.x + x3.x2 IMPLEMENTCIÓN x x2 x3 continuación escribimos el codigo VHDL correspondiente ENTITY ejemplo IS PORT (x, x2, x3 : IN IT; : OUT IT); END ejemplo; RCHITECTURE LogicFunc OF ejemplo IS EGIN <= (x ND x2) OR (NOT x2 ND x3); END LogicFunc;

39 NÁLISIS DE CÓDIGO VHDL ENTITY ejemplo2 IS PORT (x, x2, x3, x4 : IN IT;,g : OUT IT); END ejemplo2; RCHITECTURE LogicFunc OF ejemplo2 IS EGIN <= (x ND x3) OR (NOT x3 ND x2); g <= (NOT x3 OR x) ND (NOT x3 OR x4); END LogicFunc; x x3 x2 g x4

40 EJEMPLO DE CODIGO VHDL Para STD_LOGIC hay un numero de valores legales, pero los mas importantes son :,, z (alta impedancia) y - (condiciones no importa) LIRRY ieee; USE ieee.std_logic_64.all; ENTITY ejemplo4 IS END ejemplo4; PORT (x, x2, x3 : IN STD_LOGIC; : OUT STD_LOGIC); RCHITECTURE LogicFunc OF ejemplo4 IS EGIN <= (NOT x ND NOT x2 ND x3) OR (xnd NOT x2 ND NOT x3) OR (x ND NOT x2 ND x3) OR (x ND X2 ND NOT x3); END LogicFunc;

GUÍA DE EJERCICIOS Nº 5: SIMPLIFICACIÓN DE EXPRESIONES BOOLEANAS Y CIRCUITOS COMBINACIONALES

GUÍA DE EJERCICIOS Nº 5: SIMPLIFICACIÓN DE EXPRESIONES BOOLEANAS Y CIRCUITOS COMBINACIONALES GUÍ DE EJERCICIOS Nº 5: SIMPLIICCIÓN DE EXPRESIONES OOLENS Y CIRCUITOS COMINCIONLES 1. Dd l siguiente función : f min( 0,5,7,14,15) + X( 1,6,9 ) =. ) Obteng Mp de Krnugh. b) Determine función mínim c)

Más detalles

SISTEMAS DIGITALES CONTROL 1 (31/3/2014) APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A

SISTEMAS DIGITALES CONTROL 1 (31/3/2014) APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A PROBLEMA 1A (3 puntos) La siguiente entidad y arquitectura corresponden a un circuito combinacional. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY examen_marzo_14

Más detalles

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

PRACTICA 3. Lenguaje de descripción de hardware VHDL. Lenguaje de descripción de hardware VHDL. Objetivo: El alumno analizara de que partes escenciales conta un codigo hecho atravez del lenguaje de descripcion de hardware VHDL, asi tambien que implica la

Más detalles

5.1. Introducción a los sistemas digitales

5.1. Introducción a los sistemas digitales 5.. Introducción a los sistemas digitales 5... Sistemas digitales [ Wakerly.2 pág. 3] 5..2. Puertas y señales lógicas [ Wakerly.3 pág. 6] 5..3. Representación de la lógica digital [ Wakerly. pág. 9] 5..4.

Más detalles

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 SEMI-SUMDOR SUMNDOS SUM CRREO B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 SUM BINRI B S = B S =. B SUMDOR TOTL Ejemplo de suma B Ci Ci 1 1 0 0 1 i 1 1 1 0 1 Bi 1 0 0 1 Si 1 0 0 1 1 0 Co 1 1 0 0 1 Σ S Co Ci B

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Introducción a VHDL. Por: Carlos A. Fajardo UIS - Sistemas Digitales

Introducción a VHDL. Por: Carlos A. Fajardo UIS - Sistemas Digitales Introducción a VHDL Por: Carlos A. Fajardo cafajar@uis.edu.co Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL

Más detalles

Álgebras de Boole. Concepto de Álgebra. Elementos Operaciones Axiomas. Conjuntos Lógica...

Álgebras de Boole. Concepto de Álgebra. Elementos Operaciones Axiomas. Conjuntos Lógica... Automtizción Industril UC3M Dep. de Ing. de Sistems y Automátic Álger de Boole Concepto de Álger. Elementos Operciones Axioms Álgers de Boole. Conjuntos Lógic... Automtizción Industril UC3M Dep. de Ing.

Más detalles

VHDL. Lenguaje de descripción hardware

VHDL. Lenguaje de descripción hardware VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las

Más detalles

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 CAPÍTULO 1. FUNDAMENTOS GENERALES DE LA ELECTRÓNICA GENERAL...35 1.1 SISTEMAS ANALÓGICOS Y DIGITALES...36

Más detalles

EIE SISTEMAS DIGITALES Tema 5: Análisis de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 5: Análisis de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMS DIGITLES Tema 5: nálisis de la lógica combinacional Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OJETIVOS DE L UNIDD nalizar los circuitos lógicos combinacionales

Más detalles

CAPÍTULO 5. Programación en VHDL de un FPGA para el control de los elementos de conmutación. 5.1 Introducción.

CAPÍTULO 5. Programación en VHDL de un FPGA para el control de los elementos de conmutación. 5.1 Introducción. CAPÍTULO 5 Programación en VHDL de un FPGA para el control de los elementos de conmutación. 5.1 Introducción. En este capítulo se da un breve descripción de la estructura de un programa VHDL, se simula

Más detalles

6 10 3,5 2,0 4,5. PROGRAMA DE CURSO Código Nombre EL 4002. Sistemas Digitales Nombre en Inglés Digital Systems SCT

6 10 3,5 2,0 4,5. PROGRAMA DE CURSO Código Nombre EL 4002. Sistemas Digitales Nombre en Inglés Digital Systems SCT PROGRAMA DE CURSO Código Nombre EL 4002 Sistemas Digitales Nombre en Inglés Digital Systems SCT Unidades Horas de Horas Docencia Horas de Trabajo Docentes Cátedra Auxiliar Personal 6 10 3,5 2,0 4,5 Requisitos

Más detalles

Funciones Lógicas Y Métodos De Minimización

Funciones Lógicas Y Métodos De Minimización Circuitos Digitales I Tema III Funciones Lógicas Y Métodos De Minimización Luis Tarazona, UNEXPO Barquisimeto EL-3213 Circuitos Digitales I - 2004 75 Funciones lógicas Circuito combinacional: Un circuito

Más detalles

TEMA 3. Álgebra de Boole

TEMA 3. Álgebra de Boole Fundamentos de los Computadores. Álgebra de oole. T3-1 INDICE: TEM 3. Álgebra de oole EL ÁLGER DE OOLE TEOREMS DEL ÁLGER DE OOLE REPRESENTCIÓN DE FUNCIONES LÓGICS o TL DE VERDD o FORMS CNÓNICS o CONVERSIÓN

Más detalles

SISTEMAS DIGITALES CONTROL 1 (20/4/2015)

SISTEMAS DIGITALES CONTROL 1 (20/4/2015) SISTEMAS DIGITALES CONTOL (2/4/25) APELLIDOS NOMBE DNI: GUPO DE CLASE: Firma POBLEMA A ( puntos) La siguiente entidad y arquitectura corresponden a un circuito combinacional. LIBAY ieee; USE ieee.std_logic_64.all;

Más detalles

ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos).

ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). ELECTRÓNICA DIGITAL 24-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 11111100-4 10101010-86 01010110 86 2. Determinar el

Más detalles

4.3. Lenguaje VHDL Declaración de Packages

4.3. Lenguaje VHDL Declaración de Packages 4.3. Lenguaje VHDL El lenguaje VHDL (Very High Speed Integrates Circuit Hardware Description Language) es un lenguaje estándar utilizado para la descripción de los sistemas digitales. En este apartado

Más detalles

Introducción a VHDL. Por: Carlos A. Fajardo

Introducción a VHDL. Por: Carlos A. Fajardo Introducción a VHDL Por: Carlos A. Fajardo cafajar@uis.edu.co Actualizado 11/11/2015 Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes

Más detalles

ELECTRÓNICA DIGITAL. Una señal es la variación de una magnitud que permite transmitir información. Las señales pueden ser de dos tipos:

ELECTRÓNICA DIGITAL. Una señal es la variación de una magnitud que permite transmitir información. Las señales pueden ser de dos tipos: ELECTRÓNIC DIGITL INDICE 1. TIPOS DE SEÑLES... 3 1.1. SEÑLES NLÓGICS... 3 1.2. SEÑLES DIGITLES... 3 2. REPRESENTCIÓN DE LS SEÑLES DIGITLES... 3 2.1. CRONOGRMS... 3 2.2. TL DE VERDD... 4 3. SISTEM INRIO...

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL es un lenguaje de descripción de hardware Es útil para la síntesis y verificación de circuitos digitales Historia

Más detalles

UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES

UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES LABORATORIO No. 4 Fundamentos de electrónica Compuertas Lógicas I. OBJETIVOS. Conocer el

Más detalles

Tema 3: Sistemas Combinacionales

Tema 3: Sistemas Combinacionales Ejercicios T3: Sistemas Combinacionales Fundamentos de Tecnología de Computadores Tema 3: Sistemas Combinacionales 1. Analizar el siguiente circuito indicando la expresión algebraica que implementa, la

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el

Más detalles

SISTEMAS DIGITALES VHDL

SISTEMAS DIGITALES VHDL SD SISTEMAS DIGITALES VHDL Fredy Hernán Riascos Campiño Practica 1a: Implementación de un incrementador: Se he de implementar un modulo incrementador (INC), Este modulo no es mas que un sumador y un registro

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones).

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). HDL: Estos lenguajes permitieron solucionar

Más detalles

Figura 0-1: Lista de palabras reservadas del VHDL A continuación se dará una serie de ejemplos de diseños VHDL de dificultad incremental

Figura 0-1: Lista de palabras reservadas del VHDL A continuación se dará una serie de ejemplos de diseños VHDL de dificultad incremental El VHDL es un lenguaje muy amplio y fue concebido inicialmente para modelado y simulación, no para síntesis. Por tanto, no todas las descripciones VHDL son sintetizables, esto es, no todas las descripciones

Más detalles

Álgebra Booleana y Propiedades

Álgebra Booleana y Propiedades Álger Boolen y Propieddes Se B ={;}. Deinimos l sum y el produto y omplemento pr los elementos de B omo + =. + = + = + =.. = =. =.. = Un vrile es un vrile oolen si sólo tom vlores de B. en onseueni + =

Más detalles

Diseño combinacional (Parte #2) Mapas de Karnaugh

Diseño combinacional (Parte #2) Mapas de Karnaugh Departamento de Electrónica Electrónica Digital Diseño combinacional (Parte #2) Mapas de Karnaugh Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Procedimiento de diseño de un circuito

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

6. Codificadores. Electrónica Digital. Tema

6. Codificadores. Electrónica Digital. Tema 6. Codificadores Un codificador realiza la función inversa al decodificador, es decir, al activarse una de las entradas, en la salida aparece la combinación binaria correspondiente al número decimal activado

Más detalles

TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES

TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES TECNOLOGÍA INDUTRIAL I1. Deprtmento de Tecnologí. IE Nuestr eñor de l Almuden Mª Jesús iz TEMA 17: CIRCUITO DIGITALE COMBINACIONALE Este tem es un primer proximción los circuitos electrónicos digitles.

Más detalles

TEMA 1: ELECTRÓNICA DIGITAL. CIRCUITOS COMBINACIONALES

TEMA 1: ELECTRÓNICA DIGITAL. CIRCUITOS COMBINACIONALES TECNOLOGÍA INDUTRIAL I1. Deprtmento de Tecnologí. IE Nuestr eñor de l Almuden Mª Jesús iz TEMA 1: ELECTRÓNICA DIGITAL. CIRCUITO COMBINACIONALE e llm circuito digitl quél que mnej l informción en form inri,

Más detalles

TEMA 1: ELECTRÓNICA DIGITAL. CIRCUITOS COMBINACIONALES

TEMA 1: ELECTRÓNICA DIGITAL. CIRCUITOS COMBINACIONALES TECNOLOGÍA INDUTRIAL I1. Deprtmento de Tecnologí. IE Nuestr eñor de l Almuden Mª Jesús iz TEMA 1: ELECTRÓNICA DIGITAL. CIRCUITO COMBINACIONALE e llm circuito digitl quél que mnej l informción en form inri,

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

A (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0

A (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0 Fundamentos de lógica digital. VHDL Declaración de entidades utilizando vectores El acomodo de los bits vector que componen un bus puede ser ordenado de forma ascendente o descendente, por ejemplo para

Más detalles

ARQUITECTURA DE LAS COMPUTADORAS TÉCNICAS DIGITALES (PRÁCTICA)

ARQUITECTURA DE LAS COMPUTADORAS TÉCNICAS DIGITALES (PRÁCTICA) RQUITETUR DE LS OMPUTDORS TÉNIS DIGITLES (PRÁTI) INTRODUION TEORI: IRUITOS LÓGIOS El Álgebra de oole o Álgebra ooleana es de dos estados o binaria. Los circuitos lógicos son circuitos que pueden analizarse

Más detalles

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2)

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) TECNOLOGÍA DE COMPUTADORES Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) Agustín Álvarez Marquina Introducción (I) Causas del avance en el diseño de los sistemas digitales en los últimos

Más detalles

Tema IV: Circuitos Combinacionales Básicos

Tema IV: Circuitos Combinacionales Básicos Informátic Básic Tem IV: Circuitos Comincionles Básicos 1. INTRODUCCIÓN 2. CIRCUITO ARITMÉTICO 2.1 Elementos umdores 2.1.1 emisumdor 2.1.2 umdor Completo 2.2 Elementos Restdores 2.2.1 emirestdor 2.2.2

Más detalles

CUESTIONES RESUELTAS

CUESTIONES RESUELTAS CUETIONE EUELTA ) Cuál es l principl diferenci entre un circuito de control nlógico y otro digitl? ) Indicr y justificr l principl ventj de uno frente otro. (electividd ndluz). Un circuito nlógico funcion

Más detalles

TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES

TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES Deprtmento de Tecnologí. IE Nuestr eñor de l Almuden Mª Jesús iz TEMA 17: CIRCUITO DIGITALE COMBINACIONALE Este tem es un primer proximción los circuitos electrónicos digitles. Y se llm circuito digitl

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

UNIVERSIDAD DE GUANAJUATO F. I. M. E. E.

UNIVERSIDAD DE GUANAJUATO F. I. M. E. E. UNIVERSIDAD DE GUANAJUATO F. I. M. E. E. LABORATORIO DE ELECTRÓNICA DIGITAL I MANUAL DE PRÁCTICAS René de Jesús Romero Troncoso FIMEE ii Universidad de Guanajuato Electrónica Digital I Contenido: Práctica

Más detalles

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti VHDL Very High speed integrated circuit Hardware Description Language Digital II Ingeniería Electrónica Rosa Corti Contenido Dispositivos FPGA Lenguaje VHDL: Evolución y características Unidades de diseño

Más detalles

Electrónica II EMM - 0516. Participantes Representante de las academias de ingeniería Electromecánica de los Institutos Tecnológicos.

Electrónica II EMM - 0516. Participantes Representante de las academias de ingeniería Electromecánica de los Institutos Tecnológicos. 1. DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos: Electrónica II Ingeniería Electromecánica EMM - 0516 3 2 8 2.- HISTORIA DEL PROGRAMA

Más detalles

VHDL Y FPGA LENGUAJE VHDL

VHDL Y FPGA LENGUAJE VHDL VHDL Y FPGA LENGUAJE VHDL VHDL es un lenguaje de alto nivel que describe todas las características de circuitos electrónicos digitales de variada complejidad. El significado de las siglas VHDL es V de

Más detalles

1.TIPOS DE SEÑALES Una señal es la variación de una magnitud que permite transmitir información. Las señales pueden ser de dos tipos:

1.TIPOS DE SEÑALES Una señal es la variación de una magnitud que permite transmitir información. Las señales pueden ser de dos tipos: 4.ELECTRÓNIC DIGITL. Tipos de señles 2. Representción de ls señles digitles 3. istem inrio 4. Funciones ásics 5. Propieddes de ls funciones ND y OR. Teorem de Morgn. 6. implificción de funciones lógics

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

SECUENCIA: A BASE DE LOS 3 TIPOS DE F/F

SECUENCIA: A BASE DE LOS 3 TIPOS DE F/F SECUENCIA: A BASE DE LOS 3 TIPOS DE F/F Diseñe un circuito secuencial basado en Máquinas de Estado Finito (MEF) basado en los modelos MOORE y MEALY. El sistema debe tener una entrada de datos externa llamada

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

INSTITUTO POLITÉCNICO NACIONAL SECRETARÍA ACADÉMICA DIRECCIÓN DE ESTUDIOS PROFESIONALES EN INGENIERÍA Y CIENCIAS FÍSICO MATEMÁTICAS PROGRAMA SINTÉTICO

INSTITUTO POLITÉCNICO NACIONAL SECRETARÍA ACADÉMICA DIRECCIÓN DE ESTUDIOS PROFESIONALES EN INGENIERÍA Y CIENCIAS FÍSICO MATEMÁTICAS PROGRAMA SINTÉTICO CARRERA: Ingeniería en Computación. INSTITUTO POLITÉCNICO NACIONAL PROGRAMA SINTÉTICO ASIGNATURA: Circuitos Lógicos I. SEMESTRE: Tercero OBJETIVO GENERAL: El alumno diseñará circuitos digitales combinatorios

Más detalles

Diseño de Sistemas Electrónicos Digitales Avanzados

Diseño de Sistemas Electrónicos Digitales Avanzados Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...

Más detalles

ELECTRÓNICA DIGITAL TEMA 2

ELECTRÓNICA DIGITAL TEMA 2 Escuels Técnics de Ingenieros Universidd de Vigo Deprtmento de Tecnologí Electrónic Electrónic Digitl: Álger de Boole ELECTRÓNICA DIGITAL TEMA 2 ÁLGEBRA LOGICA Enrique Mnddo Pérez Escuels Técnics de Ingenieros

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

TEMA 6 UNIDAD ARITMÉTICO LÓGICA

TEMA 6 UNIDAD ARITMÉTICO LÓGICA FUNDMENTOS DE TEM 6 UNIDD RITMÉTICO LÓGIC. OPERDORES LÓGICOS. 2. PROPIEDDES DE L UL. 3. OPERDORES DE DESPLZMIENTO. Desplazamientos lógicos. Desplazamientos circulares. Desplazamientos aritméticos. 4. OPERCIONES

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

UT3. TÉCNICAS DE SIMPLIFICACIÓN

UT3. TÉCNICAS DE SIMPLIFICACIÓN UT3. TÉCNICA DE IMPLIFICACIÓN OBJETIVO: Reducir l máximo ls funciones. Expresr en un único tipo de puert (NAND que es l puert universl). MINTERM / MAXTERM Psos seguir:. Entender bien el enuncido del problem.

Más detalles

PLANTILLA. [t], Maximino Peña Guerrero,

PLANTILLA. [t], Maximino Peña Guerrero, PLANTILLA Instrucciones secuenciales: CASE CASE ejecuta una o varias secuencias de instrucciones que dependen del valor de una sola expresión. SINTAXIS case expression is when choices => {sequential_statement}

Más detalles

ENTRADAS SALIDA A B Y

ENTRADAS SALIDA A B Y UTN FRSF TECNICTUR SUPERIOR EN MECTRONIC SISTEMS DIGITLES CPITULO II CIRCUITOS LOGICOS LGER DE OOLE SINTESIS DE FUNCIONES LOGICS 1. FUNCIONES LOGICS 1.1. Función Lógica: Dadas n variables lógicas (X 1,

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

TEMA 11. CIRCUITOS ARITMÉTICOS TICOS DIGITALES

TEMA 11. CIRCUITOS ARITMÉTICOS TICOS DIGITALES TEM. CIRCUITOS RITMÉTICOS TICOS DIGITLES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 25 niversary: http://www.flickr.com/photos/ieee25/with/2809342254/ TEM. CIRCUITOS

Más detalles

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL EJERCICIOS DE SISTEMAS ELECTRÓNICOS DIGITALES: HOJA 2 2 o CURSO DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL LENGUAJES DE ALTO NIVEL 1) Realiza en RTL un comparador de dos buses

Más detalles

I. E. S. A N D R É S D E V A N D E L V I R A D E P A R T A M E N T O D E T E C N O L O G Í A. J. G a r r i g ó s

I. E. S. A N D R É S D E V A N D E L V I R A D E P A R T A M E N T O D E T E C N O L O G Í A. J. G a r r i g ó s 2012 2012 I. E. S. N D R É S D E V N D E L V I R D E P R T M E N T O D E T E C N O L O G Í C O N C E P T O S D E E L E C T R Ó N I C D I G I T L T E C N O L O G Í 4 º E S O Í NDICE 1.-INTRODUCCIÓN... 2

Más detalles

4.4. Métodos de diseño

4.4. Métodos de diseño 4.4. Métodos de diseño 4.4.1. Estilo estructural Es la descripción estructural en la que se descompone en los componentes del sistema y se indican sus interconexiones. Cada subcircuito se denomina component.

Más detalles

INGENIERIA ELECTRÓNICA

INGENIERIA ELECTRÓNICA COM PUT DORES INGENIERI ELECTRÓNIC SIGNTUR: ELECTRÓNIC DIGITL I Profesor: LUIS CRLOS LSPRILL TOVR Facultad de Ingeniería Electrónica CIRCUITOS INTEGRDOS TRNSISTORES DIODOS FLYCKS Laboratorio * Ingenieria

Más detalles

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción al VHDL VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción Lenguaje de descripción de dispositivos Hardware. Diferencias con lenguajes de programación:

Más detalles

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES Oscar Ignacio Botero Henao. CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES MULTIPLEXOR (MUX) Un Multiplexor (MUX) es un circuito combinacional al que entran varios canales de datos, y sólo salen los datos del

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B Comparadores Índice Descripción Comparador de 1 bit Tabla de verdad Circuito lógico Comparador de N bits Circuito comercial 74LS85 Tabla de verdad Circuito lógico Comparador 8 bits serie Comparador 16

Más detalles

4.5. Procedimiento de síntesis

4.5. Procedimiento de síntesis 4.5. Procedimiento de síntesis En este apartado se resumen los pasos a seguir para completar la implementación de un sistema digital en un dispositivo programable: descripción del sistema mediante uno

Más detalles

Benemérita Universidad Autónoma de Puebla Vicerrectoría de Docencia Dirección General de Educación Superior Facultad de Ciencias de la Computación

Benemérita Universidad Autónoma de Puebla Vicerrectoría de Docencia Dirección General de Educación Superior Facultad de Ciencias de la Computación Programa Educativo (PE): Licenciatura en Ingeniería en Ciencias de la Computación Área: Ingeniería en Computación Programa de Asignatura: Código: ICCM-252 Créditos: 5 Fecha: Noviembre del 2011 1 1. DATOS

Más detalles

TEMA 3: IMPLEMENTACIÓN DE CIRCUITOS COMBINACIONALES CON PUERTAS LÓGICAS.

TEMA 3: IMPLEMENTACIÓN DE CIRCUITOS COMBINACIONALES CON PUERTAS LÓGICAS. TEM 3: IMPLEMENTCIÓN DE CIRCUITOS COMBINCIONLES CON PUERTS LÓGICS. 3.1. Representación de funciones: mapas de Karnaugh de hasta 5 variables. El Mapa de Karnaugh es una representación gráfica de una función

Más detalles

INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU. Nelson Antonio Becerra Carrillo

INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU. Nelson Antonio Becerra Carrillo INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU Nelson Antonio Becerra Carrillo nelsonabc25@hotmail.com Jaime Alberto López Rincón jaimealopezr@yahoo.com Universidad

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje VHDL Objetos, tipos de datos y operadores Unidades

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS.

DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS. Práctica 3 DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS. Descripción VHDL de sistemas secuenciales Ángel Grediaga Olivo Universidad Alicante 1 Práctica 3 Descripción de registros y memorias Objetivos

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS

SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS ENRIQUE MANDADO 1,2, YAGO MANDADO 3, GIRALDO VALDÉS 2,4 y LUIS M. MENÉNDEZ 2,5 1 Departamento

Más detalles

Electrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3)

Electrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3) Capítulo 1: Circuitos Digitales Circuitos combinacionales (2/3) Índice Introducción Circuitos combinacionales Multiplexores Demultiplexores Decodificadores No excitadores/excitadores Codificadores Con

Más detalles

BENÉMERITA UNIVERSIDAD AUTÓNOMA DE PUEBLA VICERRECTORÍA DE DOCENCIA DIRECCIÓN GENERALDE EDUCACIÓN SUPERIOR FACULTAD DE CIENCIAS DE LA COMPUTACIÓN

BENÉMERITA UNIVERSIDAD AUTÓNOMA DE PUEBLA VICERRECTORÍA DE DOCENCIA DIRECCIÓN GENERALDE EDUCACIÓN SUPERIOR FACULTAD DE CIENCIAS DE LA COMPUTACIÓN Programa Educativo (PE): LICENCIATURA EN CIENCIAS DE LA COMPUTACIÓN Área: Arquitectura de Computadoras Programa de Asignatura: Circuitos Lógicos Código: CCOM-250 Créditos: 5 Fecha: Junio de 2009 1 1. DATOS

Más detalles

Lenguaje de descripción de Hardware VHSIC

Lenguaje de descripción de Hardware VHSIC Lenguaje de descripción de Hardware VHSIC VHDL Laboratorio de diseño digital Ingeniería Electrónica 5/2/2006 1 VHDL: Antecedentes históricos Herramientas EDA Necesidad de intercambio de información Primera

Más detalles

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb.

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb. Estructura de VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: ACADEMIA A LA QUE PERTENECE: NOMBRE DE LA MATERIA: CLAVE DE LA MATERIA: CARÁCTER DEL CURSO: TIPO DE CURSO: No. DE CRÉDITOS: No. DE HORAS TOTALES: ANTECEDENTES: CONSECUENTES: CARRERAS EN QUE

Más detalles

TEMA 5: ELECTRÓNICA DIGITAL

TEMA 5: ELECTRÓNICA DIGITAL Deprtmento de Tecnologí. IE Ntr. r. de l Almuden. Mª Jesús iz TEMA 5: ELECTRÓNICA DIGITAL L electrónic se divide en dos grupos: electrónic nlógic y electrónic digitl. En l electrónic nlógic los vlores

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

PRUEBA DE ENTRADA. 1. Complete el diagrama de tiempos del circuito mostrado (dibuje la señal f ): (2 ptos.)

PRUEBA DE ENTRADA. 1. Complete el diagrama de tiempos del circuito mostrado (dibuje la señal f ): (2 ptos.) CÓDIGO : LAB. Nº: 2 HORARIO: H-441 1. Complete el digrm de tiempos del iruito mostrdo (diuje l señl ): (2 ptos.) 2. Implemente, usndo sólo ompuerts NAND, el iruito uy desripión VHDL se muestr: (2 ptos.)

Más detalles

INTRODUCCIÓN A LOS VHDL. AUTOR: Cavallero, Rodolfo Antonio.

INTRODUCCIÓN A LOS VHDL. AUTOR: Cavallero, Rodolfo Antonio. INTRODUCCIÓN A LOS VHDL AUTOR: Cavallero, Rodolfo Antonio. rcavallero@scdt.frc.utn.edu.ar INTRODUCCION El lenguaje de programación VHDL (Very High Speed Integrated Circuit Hardware Description Languaje)

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

Instituto Tecnológico de Querétaro

Instituto Tecnológico de Querétaro DISEÑO DIGITAL CON VHDL Departamento de Ingeniería Eléctrica y Electrónica Guía de Práctica de Diseño Digital con VHDL. I.-NÚMERO DE GUÍA: 01. II.- NOMBRE: MAPAS DE KARNAUGH EN VHDL..- Datos generales

Más detalles

Carrera: MTF-0516 2-4-8. Participantes Representante de las academias de ingeniería en Mecatrónica de los Institutos Tecnológicos.

Carrera: MTF-0516 2-4-8. Participantes Representante de las academias de ingeniería en Mecatrónica de los Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Electrónica Digital Ingeniería Mecatrónica MTF-0516 2-4-8 2.- HISTORIA DEL PROGRAMA

Más detalles