Multiplicador en Paral.lel

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Multiplicador en Paral.lel"

Transcripción

1 Multiplicador en Paral.lel de 5 bits per hardware, controlat per una F.S.M. Andreu Martínez Alcón Juan Pedro Vicente Nuñez

2 Multiplicador en Paral.lel Funcionament: Els 2 operants en binari (A [4..] i B [4..]) provinents d un altre bloc, al premer SM els 2 nombres es carregaràn a la memoria i es realitarà la multiplicació dels 2 operants i es guardarà el resultat en una altra memòria. CD servirà per borrar els nombres de les memòries i EM servirà per indicar que la multiplicació ja s ha realitzat. SM: Començar la multiplicació. CD: borrar la memòria. Clk: Rellotge. EM: indicador de Final de multiplicació. A [4..]: operant de 5 bits en binari. B [4..]: operant de 5 bits en binaari. P [9..]: resultat de la multiplicació de bits en binari

3 Disseny General del Projecte Estructura FSM (Final State Machine) Diagrama d Estats. Codificació d estats. Taula de Transicions. Disseny dels Flip-Flops. Disseny del SC (Sistema Combinacional ). Disseny del SC2 (Sistema Combinacional 2). Disseny General de la F.S.M. Multiplicador en paral.lel de 5x5 bits Registres de càrrega dels operants i resultat. Algoritme del Multiplicador en paral.lel Disseny d un multiplicador d bit. Multiplicador de 5x5 bits en paral.lel. Disseny General del Multiplicador.

4 Final State Machine (F.S.M.) FSM (Final State Machine) SM: Començar la multiplicació. CD: borrar la memòria. Clk: Rellotge. EM: indicador de Final de multiplicació. LD: càrrega dels operants A [4..] i B [4..] a la memòria. LDC: càrrega del resultat P [9..] a la memòria. Diagrama d Estats SM= S SM= SM= SM= S3 SM= S LD= SM= S2 LDC= SM=X ( o )

5 Final State Machine (F.S.M.) Codificació d Estats Estats Q Q SO SI S2 S3 Taula de Transicions SM Q Q Q+ Q+ X

6 Final State Machine (F.S.M.) Disseny dels Flip-Flops Flip-Flop tipus T. Taula de Veritat Q Q+ Q Q CD: borrar la memòria. SD: asincronisme. Clk: Rellotge. T: Entrada. Q: Estat. Tenim 4 estats, per tant necessitem enllaçar 2 Flip-Flops tipus T.

7 Final State Machine (F.S.M.) Disseny del SC (Sistema Combinacional ) SM Q Q Q+ Q+ T T X T = (Q Q) + (Q Q SM ) + + (Q Q SM ) T = (Q Q SM) + (Q Q) + + (Q Q SM) + (Q Q SM )

8 Final State Machine (F.S.M.) Disseny del SC2 (Sistema combinacional 2) Q Q LD LDC EM LD = (Q Q) LDC = (Q Q ) EM = (Q Q )

9 Final State Machine (F.S.M.) Disseny General de la F.S.M. F.S.M.

10 Disseny General del Projecte Estructura FSM (Final State Machine) Diagrama d Estats. Codificació d estats. Taula de Transicions. Disseny dels Flip-Flops. Disseny del SC (Sistema Combinacional ). Disseny del SC2 (Sistema Combinacional 2). Disseny General de la F.S.M. Multiplicador en paral.lel de 5x5 bits Registres de càrrega dels operants i resultat. Algoritme del Multiplicador en paral.lel Disseny d un multiplicador d bit. Multiplicador de 5x5 bits en paral.lel. Disseny General del Multiplicador.

11 Multiplicador en Paral.lel Registres de càrrega del operants i resultat Registre de càrrega amb paral.lel (7494) pels operants A [4..] o B [4..]. S S Acció Inhibit Càrrega Nota: pel registre del resultat (P) de la multi-plicació necessitariem enllaçar 3 registres, ja que el resultat és de bits i cada registre només té 4 bits de sortida en paral.lel.

12 Multiplicador en Paral.lel Algoritme del Multiplicador en paral.lel L algoritme de multiplicació es deriva observant com es multiplica de forma manual: es multipliquen parcialment els bits del nombres A i B, i després a partir de les sumes d aquests productes parcials i els ròssecs del les etapes anteriors, s obté paral.lelament el resultat final. B A4 B A3 B A2 B A B A B A4 B A3 B A2 B A B A B2 A2 B2 A3 B2 A2 B2 A B2 A B3 A4 B3 A3 B3 A2 B3 A B3 A B4 A4 B4 A3 B4 A2 B4 A B4 A P9 P8 P7 P6 P5 P4 P3 A4 A3 A2 A A B4 B3 B2 B B P2 P P

13 Multiplicador en Paral.lel Disseny d un multiplicador d bit. A B Cin Sin Cout Sout Sout = (m, m2, m5, m6, m9, m, m2, m5) Cout = (m3, m7, m, m3, m4, m5) Sout Cin A Sin B Cout Cin A Sin B

14 Multiplicador en Paral.lel Disseny d un multiplicador d bit. Sout = (A Cin Sin) + (A Cin Sin ) + (A B Cin Sin ) + (A B Cin Sin) + + (B Cin Sin) + (B Cin Sin ) Cout = (Cin Sin) + (A B Sin) + (A B Cin)

15 Multiplicador en Paral.lel Multiplicador de 5x5 bits en paral.lel Seguint l algoritme de multiplicació d abans tenim: B4 B3 B2 B B A A A2 A3 A4 P9 P8 P7 P6 P5 P4 P3 P2 P P

16 Multiplicador en Paral.lel Disseny General del Multiplicador

17 Disseny General del Projecte SM CD Clk A4 A3 A2 A A B4 B3 B2 B B P9 P8 P7 P6 P5 P4 P3 P2 P P

Circuitos Secuenciales

Circuitos Secuenciales EL - 337 Página Agenda EL - 337 Página 2 Introducción El biestable de flip flops de flip flops tipo D de flip flops tipo T de flip flops tipo S-R de flip flops tipo J-K de circuitos Conclusiones Introducción

Más detalles

TEMA 11. CIRCUITOS ARITMÉTICOS TICOS DIGITALES

TEMA 11. CIRCUITOS ARITMÉTICOS TICOS DIGITALES TEM. CIRCUITOS RITMÉTICOS TICOS DIGITLES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 25 niversary: http://www.flickr.com/photos/ieee25/with/2809342254/ TEM. CIRCUITOS

Más detalles

Circuitos combinacionales aritméticos (Parte II)

Circuitos combinacionales aritméticos (Parte II) Circuitos combinacionales aritméticos (Parte II) Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid Contenidos. Circuitos sumadores y restadores Ø Sumadores con

Más detalles

Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica

Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica Programa del curso MT-4001 Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica I parte: Aspectos relativos al plan de estudios 1 Datos

Más detalles

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1 SISTEMAS DIGITALES MÉTODOS DE DISEÑO Revisión de la metodología de diseño de sistemas digitales síncronos. Métodos de implementación de unidades de control:

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES DISEÑO DE CIRCUITOS SECUENCILES Circuitos Digitales EC1723 Diseño de circuitos secuenciales (1) partir del enunciado del problema, construir el diagrama de estados y/o la tabla de estados y salidas. Determinar

Más detalles

Presentacions. multimèdia

Presentacions. multimèdia Presentacions multimèdia en l entorn Windows Microsoft PowerPoint Manel Teodoro Escoles Freta Pàgina d inici La pantalla de Microsoft PowerPoint Obrir una presentació. Per obrir una presentació podeu fer:

Más detalles

TEMA 6. Circuitos Aritméticos.

TEMA 6. Circuitos Aritméticos. Fundamentos de los Computadores. Circuitos Aritméticos T6- TEMA 6. Circuitos Aritméticos. INDICE: OPERACIONES EN EL SISTEMA BINARIO CIRCUITOS SUMADORES CIRCUITOS RESTADORES UNIDADES LÓGICO ARITMÉTICAS

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 29 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

OPERADORES: Maquinaria para realizar las instrucciones. Capítulo Tercero Fundamentos de Computadores Ingeniería de Telecomunicación

OPERADORES: Maquinaria para realizar las instrucciones. Capítulo Tercero Fundamentos de Computadores Ingeniería de Telecomunicación OPERADORES: Maquinaria para realizar las instrucciones. Capítulo Tercero Fundamentos de Computadores Ingeniería de Telecomunicación 1 Introducción (I) ALU / Arquitectura Von Neumann CPU banco de registros

Más detalles

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC Electrónica Básica Aritmética Binaria Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC ARITMÉTICA BINARIA Operaciones en el sistema Binario Natural Suma Binaria

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

3. DIAPOSITIVA D ORGANIGRAMA I DIAGRAMA

3. DIAPOSITIVA D ORGANIGRAMA I DIAGRAMA 1 3. DIAPOSITIVA D ORGANIGRAMA I DIAGRAMA Ms PowerPoint permet inserir, dins la presentació, objectes organigrama i diagrames. Els primers, poden resultar molt útils si es necessita presentar gràficament

Más detalles

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de CONTADORES Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de sus biestables. Los contadores son circuitos

Más detalles

TEORIA I QÜESTIONARIS

TEORIA I QÜESTIONARIS ENGRANATGES Introducció Funcionament Velocitat TEORIA I QÜESTIONARIS Júlia Ahmad Tarrés 4t d ESO Tecnologia Professor Miquel Estruch Curs 2012-13 3r Trimestre 13 de maig de 2013 Escola Paidos 1. INTRODUCCIÓ

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

7.4. Análisis y síntesis de autómatas utilizando registros paralelos Análisis de máquinas de estado con flip-flops D [ Wakerly pág.

7.4. Análisis y síntesis de autómatas utilizando registros paralelos Análisis de máquinas de estado con flip-flops D [ Wakerly pág. 7.4. Análisis y síntesis de autómatas utilizando registros paralelos 7.4.. Análisis de máquinas de estado con flip-flops D [ Wakerly 7.3.4 pág. 553] 7.4...Obtención de las funciones de transición y salida

Más detalles

Procediment d instal lació de la signatura al navegador

Procediment d instal lació de la signatura al navegador Procediment d instal lació de la signatura al navegador Tal i com indicara el contracte que ens han lliurat al CAATEEB a la part final, accedirem a la pagina www.idcat.cat/descarrega. Recomanem que aquests

Más detalles

UNITAT DONAR FORMAT A UNA PRESENTACIÓ

UNITAT DONAR FORMAT A UNA PRESENTACIÓ UNITAT DONAR FORMAT A UNA PRESENTACIÓ 4 Plantilles de disseny Una plantilla de disseny és un model de presentació que conté un conjunt d estils. Aquests estils defineixen tota l aparença de la presentació,

Más detalles

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175 Registros y latches multibit EL-3213 Circuitos Digitales I 74x175 Registros Contadores Registros de Desplazamiento 1 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados Otros registros de

Más detalles

Escola Anoia PRÀCTICA 1

Escola Anoia PRÀCTICA 1 PRÀCTICA 1 La figura de la dreta representa una premsa dedicada a l estampat d objectes diversos, que realitza un recorregut vertical d anada i tornada. La sortida del pistó neumàtic ha de tenir lloc quan

Más detalles

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales Niveles de Abstracción en la Descripción de Sistemas Digitales 1 Metodologías de Diseño y Herramientas de CAD

Más detalles

Tema 7 Registros y Contadores

Tema 7 Registros y Contadores Tema 7 s y Contadores Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid Contenidos. s Ø Ø Ø s con entrada serie y salida serie y paralelo s con entrada paralelo

Más detalles

REGISTROS DE DESPLAZAMIENTO

REGISTROS DE DESPLAZAMIENTO REGISTROS DE DESPLAZAMIENTO Es un circuito digital que acepta datos binarios de una fuente de entrada y luego los desplaza, un bit a la vez, a través de una cadena de flip-flops. Este sistema secuencial

Más detalles

Una plantilla és un fitxer model que conté una sèrie d elements que serveixen de base per a la creació d altres documents similars.

Una plantilla és un fitxer model que conté una sèrie d elements que serveixen de base per a la creació d altres documents similars. Ús de plantilles Una plantilla és un fitxer model que conté una sèrie d elements que serveixen de base per a la creació d altres documents similars. Per exemple, molts dels elements que apareixen en un

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

Tema 7 - Registros, contadores y memorias

Tema 7 - Registros, contadores y memorias -, contadores y memorias Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Veure que tot nombre cub s obté com a suma de senars consecutius.

Veure que tot nombre cub s obté com a suma de senars consecutius. Mòdul Cubs i nombres senars Edat mínima recomanada A partir de 1er d ESO, tot i que alguns conceptes relacionats amb el mòdul es poden introduir al cicle superior de primària. Descripció del material 15

Más detalles

Operaciones Aritméticas en Números con Signo

Operaciones Aritméticas en Números con Signo Operaciones Aritméticas en Números con Signo M. en C. Erika Vilches Parte 3 Multiplicación sin Signo Reglas básicas para multiplicar bits: 0x0 = 0 0x1 = 0 1x0 = 0 1x1 = 1 Ejemplos en números sin signo:

Más detalles

Certamen 1 Arquitectura de Computadores

Certamen 1 Arquitectura de Computadores Certamen 1 Arquitectura de Computadores Prof. Rodrigo Araya Ehrenfeld Viernes 28 de Abril 2006 Tiempo: 90 Minutos No se pueden utilizar apuntes ni calculadora. 1. Pregunta 1 (20 %) 1. Explique cuál es

Más detalles

Circuitos Aritméticos. Introducción a los Sistemas Lógicos y Digitales 2012

Circuitos Aritméticos. Introducción a los Sistemas Lógicos y Digitales 2012 Circuitos Aritméticos Introducción a los Sistemas Lógicos y Digitales 2012 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2012 Clasificación según función: Sumadores. Restadores. Multiplicadores.

Más detalles

MÓDULO 1: Sistemas de Cómputo

MÓDULO 1: Sistemas de Cómputo Asignatura: PROGRAMACIÓN Código: TE243 Prerrequisitos: Algebra Lineal (S)* Intensidad horaria: 80 H.P.S. MÓDULO 1: Sistemas de Cómputo Introducción: un sistema de cómputo es un conjunto de elementos electrónicos

Más detalles

2).Diseñar los circuitos cuyas tablas de estados son las siguientes:

2).Diseñar los circuitos cuyas tablas de estados son las siguientes: EJERCICIOS Tema 7 Ejercicios Síncronos 1) Deduce las tablas de estado que se correponden con los siguientes diagramas de estado. 2).Diseñar los circuitos cuyas tablas de estados son las siguientes: 0 1

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Registros. Registro de Corrimiento Básico

Registros. Registro de Corrimiento Básico Registros. Son dispositivos digitales donde se obtiene almacenamiento temporal. Dado que la memoria y el desplazamiento de información son sus características básicas, los registros son circuitos secuenciales

Más detalles

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas Electrónica Digital Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández 2001 Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas UNIVERSIDAD DE LA LAGUNA ii ÍNDICE Lección 0. Introducción...1

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

DEPARTAMENTO DE CIENCIAS BÁ SICAS E INGENIERÍAS INGENIERÍA EN TELEMÁ TICA

DEPARTAMENTO DE CIENCIAS BÁ SICAS E INGENIERÍAS INGENIERÍA EN TELEMÁ TICA DEPRTMENTO DE CIENCIS Á SICS E INGENIERÍS INGENIERÍ EN TELEMÁ TIC MRE DE L SIGNTUR CLVE SIGNTUR PLN DE ESTUDIO ELECTRONIC DIGITL IT0208 2004IT PRCTIC No. LORTORIO DE MRE DE L PRCTIC DURCIÓN 5 LORTORIO

Más detalles

Electrónica Digital II. M. C. Felipe Santiago Espinosa

Electrónica Digital II. M. C. Felipe Santiago Espinosa Electrónica Digital II M. C. Felipe Santiago Espinosa Octubre de 2014 WinCUPL Software desarrollado por Atmel Corporation. CUPL: Compilador universal para lógica programable (genera archivos para programar

Más detalles

Capitulo 12. Tira de bits

Capitulo 12. Tira de bits Capitulo 12. Tira de bits 12.1 Representación de números naturales (enteros positivos) base 10 base 2 base 16 decimal binario hexadecimal 0 0 0 1 1 1 2 10 2 3 11 3 4 100 4 5 101 5 6 110 6 7 111 7 8 1000

Más detalles

MANUAL DE CONFIGURACIÓ BÀSICA DEL VISAT TELEMÀTIC

MANUAL DE CONFIGURACIÓ BÀSICA DEL VISAT TELEMÀTIC MANUAL DE CONFIGURACIÓ BÀSICA DEL VISAT TELEMÀTIC A) CONFIGURACIÓ EXPLORADOR I SISTEMA OPERATIU B) LLOCS DE CONFIANÇA DEL NAVEGADOR C) RECOMACIONS INTERNET EXPLORER 10 i 11 D) INSTAL LACIÓ DE JAVA E) SIGNATURA

Más detalles

1 1 0 1 x 1 0 1 1 1 1 0 1 + 1 1 0 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1

1 1 0 1 x 1 0 1 1 1 1 0 1 + 1 1 0 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 5.1.3 Multiplicación de números enteros. El algoritmo de la multiplicación tal y como se realizaría manualmente con operandos positivos de cuatro bits es el siguiente: 1 1 0 1 x 1 0 1 1 1 1 0 1 + 1 1 0

Más detalles

Í N D E X. Cèdules Alta de sol licitud. N. versió: 1.0. Pàg. 1 / 6

Í N D E X. Cèdules Alta de sol licitud. N. versió: 1.0. Pàg. 1 / 6 N. versió: 1.0. Pàg. 1 / 6 Í N D E X 1. FUNCIONALITAT...2 1.1 Alta de sol licitud...2 1.1.1 Introducció dades...2 1.1.2 Resultat del procés...4 N. versió: 1.0. Pàg. 2 / 6 1. FUNCIONALITAT 1.1 Alta de sol

Más detalles

INTRODUCCIÓN A HDL VERILOG

INTRODUCCIÓN A HDL VERILOG INTRODUCCIÓN A HDL VERILOG Departamento de Tecnología Electrónica Universidad de Sevilla Paulino Ruiz de Clavijo Vázquez Rev.7 (nov 2012) Índice Introducción a HDL Verilog Bloque I:

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

Unitat 10. La Taula Periòdica (Llibre de text Unitat 8, pàg )

Unitat 10. La Taula Periòdica (Llibre de text Unitat 8, pàg ) Unitat 10 La Taula Periòdica (Llibre de text Unitat 8, pàg. 267-284) Index D1 10.1. Taula Periòdica actual 10.2. Descripció de la Taula Periòdica actual 10.3. L estructura electrònica i la Taula Periòdica

Más detalles

TEMPORIZADORES Y CONTADORES

TEMPORIZADORES Y CONTADORES Los µc51 tienen dos temporizadores/contadores, TIMER0 y TIMER1 de 16 bits cada uno. Cuando operan como temporizadores, los registros SFR s THx y TLx son incrementados cada ciclo de máquina, desde 0000H

Más detalles

Instal lació de Classic Client (Gestor del Token) a Ubuntu

Instal lació de Classic Client (Gestor del Token) a Ubuntu Instal lació de Classic Client (Gestor del Token) a Ubuntu En aquesta guia trobareu les instruccions necessàries per tal de poder fer servir el vostre 1 carnet UPF amb el sistema operatiu Ubuntu. Continguts

Más detalles

FLIP FLOPS PRÁCTICA 8

FLIP FLOPS PRÁCTICA 8 FLIP FLOPS PRÁCTICA 8 Objetivos 1. Presentar el funcionamiento de los circuitos secuenciales llamados flip-flops, capaces de memorizar un evento de entrada. 2. Presentar nuevos tipos de flip-flops que

Más detalles

CATÀLEG COL LECTIU DE LA XARXA ELECTRÒNICA DE LECTURA PÚBLICA VALENCIANA

CATÀLEG COL LECTIU DE LA XARXA ELECTRÒNICA DE LECTURA PÚBLICA VALENCIANA CATÀLEG COL LECTIU DE LA XARXA ELECTRÒNICA DE LECTURA PÚBLICA VALENCIANA http://xlpv.cult.gva.es QUÈ PERMET FER? Consulta del catàleg col lectiu de la Xarxa Electrònica de Lectura Pública Valenciana (exlpv).

Más detalles

CIRCUITOS SECUENCIALES

CIRCUITOS SECUENCIALES LABORATORIO # 7 Realización: 16-06-2011 CIRCUITOS SECUENCIALES 1. OBJETIVOS Diseñar e implementar circuitos utilizando circuitos multivibradores. Comprender los circuitos el funcionamiento de los circuitos

Más detalles

UNITAT DIDÀCTICA MULTIMÈDIA Escola Origen del aliments. Objectius:

UNITAT DIDÀCTICA MULTIMÈDIA Escola Origen del aliments. Objectius: UNITAT DIDÀCTICA MULTIMÈDIA Escola Origen del aliments Objectius: Conèixer quin és l origen dels aliments. Veure els ingredients de diferents menús infantils. Informar-se sobre el valor energètic de diferents

Más detalles

Programació d'activitats per 1r. Cicle de Primària PROGRAMES: PAINT

Programació d'activitats per 1r. Cicle de Primària PROGRAMES: PAINT PROGRAMES: PAINT Manejar el ratolí amb precisió. Elaborar un dibuix utilitzant llapis, pinzell i aerògraf. Exercitar el traç mitjançant l ús de línies. Fer un dibuix emprant el rectangle i el.lipse. Introduir-se

Más detalles

Pronoms febles. Quan va introduït per un article: el, la, els, les, un, una, uns, unes

Pronoms febles. Quan va introduït per un article: el, la, els, les, un, una, uns, unes Pronoms febles El pronom feble és un element gramatical amb què substituïm un complement del verb: complement directe, indirecte, preposicional, predicatiu, atribut o complement circumstancial. Hi ha alguns

Más detalles

PROPOSTA D ADAPTACIÓ CURRICULAR Coneixement del medi social 5è 1ària L EDAT MITJANA

PROPOSTA D ADAPTACIÓ CURRICULAR Coneixement del medi social 5è 1ària L EDAT MITJANA PROPOSTA D ADAPTACIÓ CURRICULAR Coneixement del medi social 5è 1ària L EDAT MITJANA Aquesta adaptació s ha elaborat per a alumnat nouvingut de 5è de primària del CEIP Sant Llorenç de Terrassa Estructura

Más detalles

Por qué la industria de los computadores tiene como principal materia prima al silicio?

Por qué la industria de los computadores tiene como principal materia prima al silicio? MOTIVACIÓN DEL ESTUDIO DE LOS TRANSISTORES: Qué son y para qué sirven? Por qué la industria de los computadores tiene como principal materia prima al silicio? Ya sé cómo a partir de las puertas lógicas

Más detalles

Servei de Gestió de Serveis Informàtics Secció de Sistemes en Explotació Webmailaj Correu Municipal Configuració nou compte de correu

Servei de Gestió de Serveis Informàtics Secció de Sistemes en Explotació Webmailaj Correu Municipal Configuració nou compte de correu Webmailaj Correu Municipal Configuració nou compte de correu Pàgina 1 de 11 ÍNDEX CONFIGURACIÓ D UN NOU COMPTE DE CORREU...3 1 CONFIGURACIÓ GENERAL...3 2 CONFIGURACIÓ NOM COMPTE I ADREÇA DE RESPOSTA...8

Más detalles

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma.

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma. KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS Luces secuenciales con efecto de scanner o simulador de alarma. Tabla de Contenido DEFINICIÓN FUNCIONAMIENTO LISTA DE PARTES ENSAMBLE REFERENCIAS DEFINICIÓN

Más detalles

Somni causat pel vol d una abella al voltant d una magrana un segon abans de despertar

Somni causat pel vol d una abella al voltant d una magrana un segon abans de despertar Somni causat pel vol d una abella al voltant d una magrana un segon abans de despertar Guia d ús per a famílies amb infants de 6 a 11 anys Jocs al web de la Fundació Gala-Salvador Dalí: www.salvador-dali.org

Más detalles

10 Àlgebra vectorial. on 3, -2 i 4 són les projeccions en els eixos x, y, y z respectivament.

10 Àlgebra vectorial. on 3, -2 i 4 són les projeccions en els eixos x, y, y z respectivament. 10 Àlgebra vectorial ÀLGEBR VECTORIL Índe P.1. P.. P.3. P.4. P.5. P.6. Vectors Suma i resta vectorial Producte d un escalar per un vector Vector unitari Producte escalar Producte vectorial P.1. Vectors

Más detalles

Aquesta segona part de l examen consta de 2 fulls. Heu de contestar en la mateixa fulla on es troba la pregunta.

Aquesta segona part de l examen consta de 2 fulls. Heu de contestar en la mateixa fulla on es troba la pregunta. PART 2 FULL 1 Aquesta segona part de l examen consta de 2 fulls. Heu de contestar en la mateixa fulla on es troba la pregunta. 1. (1,5 punts) Dissenyar una unitat de control d un datapath emprant un Comptador

Más detalles

TEMA 8 LA TERRA I LA SEUA DINÀMICA: EL RELLEU DE LA TERRA I LES ROQUES

TEMA 8 LA TERRA I LA SEUA DINÀMICA: EL RELLEU DE LA TERRA I LES ROQUES TEMA 8 LA TERRA I LA SEUA DINÀMICA: EL RELLEU DE LA TERRA I LES ROQUES Quadern Cognoms: Nom: Data: Nivell: 2n d E S O Grup: 1.- EL RELLEU DE LA TERRA 2.- ELS TIPUS DE ROQUES 3.- EL CICLE DE LES ROQUES

Más detalles

FUNCIONS EXPONENCIALS I LOGARÍTMIQUES. MATEMÀTIQUES-1

FUNCIONS EXPONENCIALS I LOGARÍTMIQUES. MATEMÀTIQUES-1 FUNCIONS EXPONENCIALS I LOGARÍTMIQUES. 1. Funcions exponencials. 2. Equacions exponencials. 3. Definició de logaritme. Propietats. 4. Funcions logarítmiques. 5. Equacions logarítmiques. 1. Funcions exponencials.

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América)

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) FACULTAD DE INGENIERIA DE SISTEMAS E INFORMATICA Escuela Académico Profesional de Ingeniería de Sistemas SILABO 1. ESPECIFICACIONES

Más detalles

DIAGRAMA DE FASES D UNA SUBSTANCIA PURA

DIAGRAMA DE FASES D UNA SUBSTANCIA PURA DIAGRAMA DE FASES D UNA SUBSTANCIA PURA Que es una fase? De forma simple, una fase es pot considerar una manera d anomenar els estats: sòlid, líquid i gas. Per exemple, gel flotant a l aigua, fase sòlida

Más detalles

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16.

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16. CONTADORES Son circuitos digitales lógicos secuenciales de salida binaria o cuenta binaria, caracteristica de temporizacion y de memoria, por lo cual están constituidos a base de flip-flops. CARACTERISTICAS

Más detalles

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones:

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: D Salidas activas en bajo para manejar display ánodo común E Entradas (4 bits) activas en bajo (o con lógica

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

ESTADÍSTIQUES I GRÀFICS a ITACA (en castellano más adelante, pág. 15 a 28)

ESTADÍSTIQUES I GRÀFICS a ITACA (en castellano más adelante, pág. 15 a 28) ESTADÍSTIQUES I GRÀFICS a ITACA (en castellano más adelante, pág. 15 a 28) Des de Centre Llistats Estadístiques i Gràfics podrà obtindre informació estadística sobre distints aspectes acadèmics del seu

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

ELO311 Estructuras de Computadores Digitales. Algoritmos de Multiplicación y División

ELO311 Estructuras de Computadores Digitales. Algoritmos de Multiplicación y División ELO311 Estructuras de Computadores Digitales Algoritmos de Multiplicación y División Tomás Arredondo Vidal Este material está basado en: material de apoyo del texto de David Patterson, John Hennessy, "Computer

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

Sistemas secuenciales síncronos: codificación de estados de un control de volumen

Sistemas secuenciales síncronos: codificación de estados de un control de volumen Sistemas secuenciales síncronos: codificación de estados de un control de volumen Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informàtica de Sistemes i Computadors

Más detalles

Reglament regulador. prestacions. Juny, 2014

Reglament regulador. prestacions. Juny, 2014 Reglament regulador del càlcul c de prestacions Juny, 2014 Objecte Prestacions (exemple) Es regula la base de càlcul de les prestacions econòmiques de la branca general Prestacions d incapacitat temporal

Más detalles

Proyecto de Diseño 2

Proyecto de Diseño 2 Altera University Program 1 Proyecto de Diseño 2 Números y Visualizadores El objetivo de esta práctica es diseñar circuitos combinacionales que realicen conversiones numéricas de binario a decimal y adición

Más detalles

En aquest document es resumeix informació general relativa a les tarifes vigents, així com diferent informació d interès.

En aquest document es resumeix informació general relativa a les tarifes vigents, així com diferent informació d interès. ÍNDEX: En aquest document es resumeix informació general relativa a les tarifes vigents, així com diferent informació d interès. (Es pot accedir-hi directament clicant damunt el punt en qüestió) 1. Tarifes

Más detalles

Àrea de Territori Serveis Municipals. Memòria 2014 Informe Final

Àrea de Territori Serveis Municipals. Memòria 2014 Informe Final + Àrea de Territori Serveis Municipals Memòria 2014 Informe Final + Introducció! L objec(u principal del departament de obres i serveis de l Ajuntament de Montornès del Vallès és el manteniment de la via

Más detalles

Electrónica Digital I

Electrónica Digital I Electrónica Digital I Página 1 de 6 Programa de: Electrónica Digital I UNIVERSIDAD NACIONAL DE CÓRDOBA Facultad de Ciencias Exactas, Físicas y Naturales República Argentina Carrera: Ingeniería en Computación.

Más detalles

Computación I Representación Interna Curso 2011

Computación I Representación Interna Curso 2011 Computación I Representación Interna Curso 2011 Facultad de Ingeniería Universidad de la República Temario Representación de Números Enteros Representación de Punto Fijo Enteros sin signo Binarios puros

Más detalles

DIVISIBILITAT. Amb els nombres 5, 7 i 35 podem escriure diverses expressions matemàtiques: 5x7= 35 35 5 35

DIVISIBILITAT. Amb els nombres 5, 7 i 35 podem escriure diverses expressions matemàtiques: 5x7= 35 35 5 35 ESO Divisibilitat 1 ESO Divisibilitat 2 A. El significat de les paraules. DIVISIBILITAT Amb els nombres 5, 7 i 35 podem escriure diverses expressions matemàtiques: 5x7= 35 35 = 7 5 35 = 5 7 35 7 0 5 35

Más detalles

Els nombres enters són els que permeten comptar tant els objectes que es tenen com els objectes que es deuen.

Els nombres enters són els que permeten comptar tant els objectes que es tenen com els objectes que es deuen. Els nombres enters Els nombres enters Els nombres enters són els que permeten comptar tant els objectes que es tenen com els objectes que es deuen. Enters positius: precedits del signe + o de cap signe.

Más detalles

CAPITULO 7.- DISEÑO DE CIRCUITOS LOGICOS

CAPITULO 7.- DISEÑO DE CIRCUITOS LOGICOS CAPITULO 7.- DISEÑO DE CIRCUITOS LOGICOS 7. INTRODUCCION El diseño de los circuitos de combinación comienza con la descripción verbal del problema y termina en un diagrama de circuito lógico. El procedimiento

Más detalles

Desarrollo de Problemas

Desarrollo de Problemas Desarrollo de Problemas Diseñe a nivel de Circuitos Lógicos una memoria de 2 X 2. Considere pastillas de memoria de 2 X 2 para elaborar una memoria de 16 X 8. Ejemplifique su utilización para la escritura

Más detalles

2010 2011 Quadrimestre de tardor

2010 2011 Quadrimestre de tardor 20102011 Quadrimestredetardor Approfondissementdenotionsde mécaniquedesroches: Confrontationdemodèlesmécaniques etgéologiquesàlaréalitéd unchantier ducreusementd untunneldansun massifrocheuxfracturé. Autora:CarlaSolsonaAccensi

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

FORMATO BINARIO DE NÚMEROS NEGATIVOS

FORMATO BINARIO DE NÚMEROS NEGATIVOS FORMATO BINARIO DE NÚMEROS NEGATIVOS Introducción: Como sabemos, con un número n determinado de bits se pueden manejar 2 n números binarios distintos. Hasta ahora hemos trabajado con números binarios puros,

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2009 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

La història. Escola Pompeu Fabra - Cicle Mitjà - 3r - Medi - Introducció a la història 1

La història. Escola Pompeu Fabra - Cicle Mitjà - 3r - Medi - Introducció a la història 1 La història La història és l estudi d alguns fets del passat des dels primers éssers humans fins a l actualitat. Els historiadors han dividit la història en cinc grans períodes o edats: la Prehistòria

Más detalles

Carrera: SCB-9335 4-2-10. Proporciona conocimientos básicos para la programación de dispositivos de control digital.

Carrera: SCB-9335 4-2-10. Proporciona conocimientos básicos para la programación de dispositivos de control digital. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Sistemas Digitales Ingeniería en Sistemas Computacionales SCB-9335 4-2-10 2.- UBICACIÓN

Más detalles

DOCENTE OSCAR MARIO GIL RIOS

DOCENTE OSCAR MARIO GIL RIOS OBJETIVO: Mediante los siguientes ejercicios el estudiante adquiere conocimientos en subnetting VLSM (Mascara de subred con longitud variable) El método de VLSM es utilizado para no desperdiciar IP s Optimizar

Más detalles

Relación de Problemas de Circuitos Secuenciales

Relación de Problemas de Circuitos Secuenciales Escuela Técnica de Ingenieros en Informática de Sistemas Sistemas Electrónicos Digitales Relación de Problemas de Circuitos Secuenciales 1.- Dado el circuito secuencial síncrono de la figura: a.- Trace

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Flip-flop SR con compuertas NAND y NOR. Por: Romero Reyes Roció Germana Laboratorio de Sistemas Digitales II Prof. J.

Flip-flop SR con compuertas NAND y NOR. Por: Romero Reyes Roció Germana Laboratorio de Sistemas Digitales II Prof. J. Flip-flop SR con compuertas NAND y NOR Por: Romero Reyes Roció Germana Laboratorio de Sistemas Digitales II Prof. J. Jesús Díaz Aceves Introducción Un circuito Flip Flop puede construirse con dos compuertas

Más detalles

Otra importante división de los circuitos secuenciales es entre sincronos y asíncronos

Otra importante división de los circuitos secuenciales es entre sincronos y asíncronos 1. CIRCUITOS SECUENCIALES 1.1. Definición, características y constitución de los circuitos secuenciales Los circuitos secuénciales, de la misma forma que los combinacionales, están constituidos por puertas

Más detalles