Multiplexor de 3 a 1 Cuádruple con una GAL

Documentos relacionados
Máquinas de Estados en la GAL22V10 Usando ABEL-HDL

Cuatro Tipos de Flip-Flop en la GAL22V10

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada

Práctica 4. Sistemas Digitales. Objetivos particulares

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

ARQUITECTURAS ESPECIALES

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language).

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

Sistemas Combinacionales

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES

Unidad 3: Circuitos digitales.

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

El número decimal 57, en formato binario es igual a:

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

I UNIDAD ÁLGEBRA BOOLEANA Y COMPUERTAS LÓGICAS

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR

Practica Nº4 Multiplexores

Lenguaje ABEL. Introducción. Estructura de un archivo fuente ABEL. Estructura de un archivo fuente ABEL

Tema: USO DE MULTIPLEXORES Y DEMULTIPLEXORES.

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales.

Graciela Documentation

TRABAJO PRÁCTICO Nº 3. Expresiones booleanas, tablas de verdad y compuertas lógicas

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

Implementación de una unidad procesadora de cuatro bits, como material didáctico

SENA; Conocimiento para todos los Colombianos

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

Circuitos Combinatorios

Tema 11: Sistemas combinacionales

CIRCUITOS ARITMÉTICOS

4. Prácticas: Circuitos Combinacionales

Circuitos combinacionales. Funciones integradas

Simplificación de funciones lógicas utilizando Karnaugh

Operaciones Booleanas y Compuertas Básicas

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

INDICE. XVII 0 Introducción 0.1. Historia de la computación

ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN CARRERA DE INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

Taller #1: Logisim PARTE 1:

Organización del Computador I. David Alejandro González Márquez

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

Electrónica Digital: Sistemas Numéricos y Algebra de Boole

La Unidad Procesadora.

Módulos combinacionales básicos. Tema 7

George Boole. Álgebra Booleana. Álgebra de Conmutación. Circuitos Digitales EC1723

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

Operación de circuitos lógicos combinatorios.

Unidad Didáctica 6 Electrónica Digital 4º ESO

Práctica 6 Diseño Combinacional

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05

PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación:

Curso Completo de Electrónica Digital

FUNDAMENTOS DE COMPUTADORES EJERCICIOS U1: Álgebra de Boole y Diseño Lógico

Tema 3: Operaciones aritméticas y lógicas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Circuitos combinacionales

Subsistemas aritméticos y lógicos. Tema 8

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Patricia Borensztejn

Tema 1: Circuitos Combinacionales

TEMA 5. SISTEMAS COMBINACIONALES MSI.

Lógica Digital. Circuitos Secuenciales. Maximiliano Urso. Orga I

Arquitectura de Computadores I - Tutorial sobre Max+Plus II

Sistemas Digitales. Circuitos Codificadores

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

4. Operadores Operador asignación

Álgebra Booleana y Simplificación Lógica

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario.

Tema 3.1 Introducción a los circuitos combinacionales. Algebra de Boole

SUMADORES Y COMPARADORES

PRÁCTICA 1: SISTEMAS COMBINACIONALES

TEMA 5. ELECTRÓNICA DIGITAL

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA Programación en Pascal Capítulo 2. Acciones Primitivas.

SIMPLIFICACIÓN DE FUNCIONES LÓGICAS

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

Tipos de datos en VHDL

ALGORÍTMICA. Dpto. Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid.

Modelo de examen tipo resuelto 1

Síntesis arquitectónica y de alto nivel

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

Tema 5: Álgebra de Boole Funciones LógicasL

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

Tema 3 - Modelado con HDL a nivel RTL

NOT. Ejemplo: Circuito C1

IEE 2712 Sistemas Digitales

Introducción a la programación de PLD s

Lección 2 Introducción al lenguaje C

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

Universidad Autónoma del Estado de México Facultad de Medicina

Transcripción:

Multiplexor de 3 a 1 Cuádruple con una GAL Se ilustra el diseño de un multiplexor de tres entradas a una sola salida. La multiplexión significa transmitir un número grande de entradas con información digital sobre un número menor de líneas. Se tiene que un multiplexor digital recibe señales booleanas y elige un subconjunto de ellas para enviarlas hacia la salida. El multiplexor es un circuito lógico combinatorio. El multiplexor es en esencia un selector de datos. En nuestro caso recibimos tres grupos de señales de cuatro bits cada uno de ellos. La salida es un grupo de cuatro bits. Dos entradas selectoras eligen a cual de los grupos conducir hacia la salida. La siguiente figura muestra el diagrama simplificado del diseño. Especificación del Diseño Figura 1. Diagrama a bloques del mux 12 a 4. De acuerdo con el diagrama se reciben tres entradas a, b y c, de cuatro bits cada una de ellas, las señales de selección S1 y S0 se emplean para elegir a una de ellas, según la siguiente tabla. La salida y se genera de acuerdo a la tabla: S1 S0 y 0 0 a 0 1 b 1 0 c 1 1 a XOR b Para mostrar la flexibilidad que brinda la GAL para la última combinación se envía una operación booleana que se realiza sobre el primer grupo y el segundo grupo, esto es hace la operación lógica sobre cuatro parejas de bits. En total son catorce líneas de entrada y cuatro líneas de salida. La siguiente figura exhibe al programa fuente de ABEL que implementa al diseño. Prof.. S. Saucedo 1 ICE/2007

MODULE mux3_1 TITLE 'Multiplexor 3 a 1 cuadruple' "entradas a0..a3 pin 1..4; b0..b3 pin 5..8; c0..c3 pin ; s1,s0 pin 13,14; selectoras " SALIDAS y0..y3 pin 17..20; H = [1,1,1,1]; L = [0,0,0,0]; X =.x.; elige = [s1,s0]; y = [y3..y0]; a = [a3..a0]; b = [b3..b0]; c = [c3..c0]; Z = [.z.,.z.,.z.]; equations when (elige == 0) then y = a; when (elige == 1) then y = b; when (elige == 2) then y = c; when (elige == 3) then y = a$b; test_vectors ([elige, a, b, c] -> y) [ 0, 1, X, X] -> 1;"elige = 0, conduce lineas a hacia la salida [ 0, 7, H, L] -> 7; [ 0, 5, H, L] -> 5; [ 1, H, 3, H] -> 3;"elige = 1, conduce lineas b hacia la salida [ 1, 4, 9, H] -> 9; [ 1, L, 6, L] -> X; [ 2, L, L, 3] -> 3;"elige = 2, conduce lineas c hacia la salida [ 2, H, H, 6] -> X; [ 2, L, L, 11] -> 11; [ 3, H, H, 0] -> L;"elige = 3, conduce a XOR b hacia la salida [ 3, 3, 6, L] -> 5; [ 3, H, L, 0] -> H; END Figura 2 Listado del módulo que implementa el diseño. Método del Diseño La figura 3 exhibe un nuevo diagrama a bloques para el mismo multiplexor tras que los conjuntos han sido usados para agrupar a las señales. Doce de las entradas se han agrupado en los conjuntos a, b, y c. Las salidas y las líneas selectoras sea agrupan en los conjuntos, y y elige, respectivamente. Tal agrupamiento de señales en conjuntos toma lugar en la sección de declaraciones del file fuente, listado en la figura 2. Cuando los Prof.. S. Saucedo 2 ICE/2007

conjuntos han sido ya declarados, la especificación del diseño se hace con las siguientes cuatro ecuaciones que usan postulados WHEN-THEN. when (elige == 0) then y = a; when (elige == 1) then y = b; when (elige == 2) then y = c; when (elige == 3) then y = a$b; La expresión relacional (==) dentro del paréntesis produce una expresión que se evalúa como verdadera o falsa, dependiendo en los valores de s0 y s1. Vectores de Prueba Figura 3. Diagrama a bloques más desglosado del MUX. Los vectores de prueba para este diseño se especificaron en términos de los conjuntos de entrada, salida, y de selección. Notar que los valores para un conjunto se pueden especificar mediante números decimales y mediante otros conjuntos. Las constantes H y L, usadas en los vectores de simulación, fueron declaradas como conjuntos de cuatro bits conteniendo sólo unos o sólo ceros. La figura 4 ilustra la distribución de patitas que hace de la GAL usada el software de desarrollo, el isplevel starter de Lattice. Prof.. S. Saucedo 3 ICE/2007

Figura 4 Asignación de pines para la GAL. Finalmente, se ofrece la simulación de los vectores de prueba, en la figura 5. Prof.. S. Saucedo 4 ICE/2007

Figura 5 Diagrama en el tiempo de la operación simulada del MUX. El multiplexaje permite ahorrar energía, pines y cableado en muchas aplicaciones. En algunos casos dos o más multiplexores se encapsulan en un paquete IC, en cuyo caso las señales selectoras son independientes entre sí, no como en nuestro caso. Problema: La GAL ya grabada con el diseño se alambra como se muestra a continuación: Figura 6 Conexionado del multiplexor. Cuál es la tabla de verdad para la salida Z en función de las variables de entrada S1, S0, D y E Prof.. S. Saucedo 5 ICE/2007