"Procesador Embebido Microblaze para FPGAs"

Tamaño: px
Comenzar la demostración a partir de la página:

Download ""Procesador Embebido Microblaze para FPGAs""

Transcripción

1 "Procesador Embebido Microblaze para FPGAs" Estanislao Aguayo, Becario de Investigación. Departamento de Tecnología de Computadores, Escuela Politécnica Superior. UAM. Sumario Introduccion Microblaze Soft processor - Soft vs Hard processors Arquitectura Interna - Soft processor en una FPGA Pipeline Registros internos Repertorio de instrucciones Interrupciones y excepciones IP cores para Microblaze Configuraciones de buses Estándar Core Connect de IBM para Memoria Microblaze Para OPB (On( On-Chip peripheral bus) Otros IP interesantes Microblaze vs Otros sitemas Crea tus propios cores y sus drivers Velocidad Consumo Tiempo de desarrollo y/o modificacion del diseño Microblaze; Desarrollo de aplicaciones con EDK 6.1 Cadena dediseño y montaje Cadena de simulación Referencias Debugging

2 Sumario Introduccion - Soft vs Hard processors - Soft processor en una FPGA Microblaze Soft processor Arquitectura Interna Pipeline Registros internos Repertorio de instrucciones Interrupciones y excepciones Configuraciones de buses Memoria IP cores para Microblaze Estándar Core Connect de IBM para Microblaze Para OPB (On( On-Chip peripheral bus) Otros IP interesantes Crea tus propios cores y sus drivers Microblaze; Desarrollo de aplicaciones con EDK 6.1 Cadena dediseño y montaje Cadena de simulación Debugging Microblaze vs Otros sitemas Velocidad Espacio Consumo Tiempo de desarrollo y/o modificacion del diseño Referencias Soft vs Hard processors Hard Processor; El procesador es un circuito VLSI, con un diseño a nivel de transistor optimizado para ejecutar instrucciones en el mínimo tiempo posible. Soft Processor; El procesador se implementa simulando su comportamiento con un lenguaje de programación (HDL en el caso de Microblaze) Ejemplos; Power PC en una Virtex II pro, 8086, Pentium architecture Behavioral of procesador is If Ins_Entrada = Instrucción_Suma then Acumulador <= Dato1 + Dato2 Endif;

3 Soft processor en una FPGA Microblaze es un soft processor descrito en VHDL. VHDL describe la arquitectura que compone el procesador dentro de la FPGA, Microblaze utiliza Xilinx lo vende como un IP core. FPGA RAM Puertas Lógicas Multiplexores HDL ALU Unidad de Control Registros Internos Procesador FPGA => entre 432 (Spartan II 15K) hasta (Virtex II Pro 125) celdas lógicas MicroBlaze Soft Processor => alrededor de 1000 celdas lógicas (dependiendo de la FPGA) Introduccion - FPGA? - Soft vs Hard processors - Soft processor en una FPGA - Ventajas de una FPGA: Conectividad, distribución de tareas HW-SW IP cores para Microblaze (Equipamiento opcional) Estándar Core Connect de IBM para Microblaze Para OPB (On( On-Chip peripheral bus) Otros IP interesantes Crea tus propios cores y sus drivers Sumario Microblaze Soft processor Arquitectura Interna Pipeline Registros internos Repertorio de instrucciones Interrupciones y excepciones Configuraciones de buses Memoria Microblaze vs Otros sistemas (Prestaciones) Velocidad Microblaze; Desarrollo de aplicaciones con EDK 6.1 (Manual de Espacio conduccion) Consumo Cadena dediseño y montaje Tiempo de desarrollo y/o modificación del diseño Cadena de simulación Debugging Fpga s que soportan Microblaze Ejemplo de Aplicación de Microblaze Referencias

4 Arquitectura Interna Arquitectura interna tipo Harvard 32x32bits registros internos Buses diseñados para soportar CoreConnect de IBM Pipeline Solución arquitectónica para maximizar throughput (# datos procesados por segundo) Recepción Decodificación Ejecución Todos los riesgos del pipeline son tratados por HW (Flush( automático) Posibilidad de especificar el uso del pipeline en el código de la instrucción.(técnica Delay Slots,, para reducir la penalización por salto)

5 Pipeline Fetch Pipeline Decode

6 Pipeline Execute Registros internos 32x32bit registros internos de propósito general. Gran capacidad de direccionamiento de memoria. 2 registros de propósito especifico PC MSR (Machine( Status Register); Acarreo, Mascara de interrupciones, Configuración de buses No hay registros de estado de programa Cambios de contexto ineficientes

7 Repertorio de instrucciones Procesador tipo RISC (Reduced( Instruction Set Computer) Las instrucciones operan con los registros internos o con valores inmediatos. 87 instrucciones para realizar operaciones lógicas, aritméticas, comparaciones (3 ciclos si salta + 2 para rellenar el pipeline), accesos a memoria Repertorio de instrucciones Cuestiones sobre el repertorio de instrucciones Instrucciones de 32bits; Espacio de memoria Ancho de banda de la memoria Mas bits, mas potencia consumida Code density Cuanto de amigo en nuestro compilador? Uso del pipeline Instrucciones con carga de valor inmediato

8 Interrupciones y excepciones El procesador tiene un puerto de interrupciones. 4 ciclos de reloj de latencia Disparada por nivel o flanco Microblaze soporta excepciones En ambos casos se modifica el contenido de PC a un valor prefijado y se almacena el antiguo valor en un GPR. Interrupciones y excepciones Si hay diferentes prioridades de interrupciones, necesitaremos un IP. Aumento de la latencia de interrupciones Manejo de interrupciones torpe No hay interrupciones tipo wake-up Pila de direcciones implementada por software.

9 Configuraciones de buses Memoria RAM interna de la FPGA Buses del procesador Datos Instrucciones Externa a través del OPB y el controlador del dispositivo externo. Memoria RAM interna de la FPGA Externa a través del OPB y el controlador del dispositivo externo. Configuraciones de buses El tamaño del bus de datos es dinámicamente ajustable Solo con el protocolo Legacy_devices No es el más optimizado para FPGA s,, porque requiere retransmisiones por parte del master para periféricos mas pequeños, consumiendo muchos ciclos de reloj. El más optimizado es Byte_enables, con instrucciones separadas para escribir Bytes,Half_Word s y Word s, para manejar el bus, incluidas en el repertorio de instrucciones. El de instrucciones no soporta este modo. El bus de instrucciones tiene el puerto de escritura implementado, para conservar consistencia con el de datos.

10 Acceso directo del procesador a los Bloques de RAM interna de la FPGA. Memoria interna de la FPGA Configurable para la anchura de bus deseada, pero con las técnicas Read_Sterring y Write_Sterring Memoria Interna Read Data Sterring Write Data Sterring Latencia de acceso a memoria interna 2 ciclos Memoria Externa Memoria Externa; acceso a través del OPB. Latencia de acceso a memoria externa 3 ciclos de reloj si no hay ciclos de arbitrio para el OPB.

11 Introduccion - Qué es una FPGA? - Soft vs Hard processors - Soft processor en una FPGA - Ventajas de una FPGA: Conectividad, distribución de tareas HW-SW Sumario Microblaze Soft processor (Equipamiento de serie) Arquitectura Interna Pipeline Registros internos Repertorio de instrucciones Interrupciones y excepciones Configuraciones de buses Memoria IP cores para Microblaze Estándar Core Connect de IBM para Microblaze Para OPB (On( On-Chip peripheral bus) Otros IP interesantes Crea tus propios cores y sus drivers Microblaze vs Otros sitemas (Prestaciones) Velocidad Espacio Consumo Tiempo de desarrollo y/o modificacion del diseño Microblaze; Desarrollo de aplicaciones con EDK 6.1 (Manual de conduccion) Cadena dediseño y montaje Cadena de simulación Debugging Fpga s que soportan Microblaze Ejemplo de Aplicación de Microblaze Referencias Arquitectura Core Connect de IBM para Microblaze Desarrollada para conectar diferentes módulos integrados en un mismo chip por IBM. Xilinx lo ha tomado como estándar Ahorra tiempo de diseño(implementacion, simulacion ) Portabilidad a nuestro diseño OPB (On( On-chip peripheral bus) Bus síncrono de 32 bits para datos e instrucciones por líneas separadas (64 bits en total). Permite la selección del tamaño del bus dinámicamente Soporta varios Masters,, protocolo Byte enable y bus parking Se le pueden añadir periféricos sin cambiar I/O s gracias a su arquitectura con multiplexación distribuida.

12 IP cores para OPB (On( On-Chip peripheral bus) Desarrollados por Xilinx para utilizar toda la potencia de microblaze y facilitar diseños. (IP( IP cores cores muy estudiados, configurables y con diagramas de tiempos muy detallados.) EMC (Controlador de memoria externa) Controlador de interrupciones UART (Receptor/Transmisor asincrono universal, para comunicaciones serie) GPIO(Entrada/Salida de proposiyo general) Timer/Counter JTAG-UART(Joint test Action Group Universal, estándar IEEE1149) Otros IP interesantes Decodificador Viterbi para corrección de errores 10 Gigabit Media Access Controller Controlador Ethernet 10/100 Procesador Bluetooth Interfaz PCI, I2C, DDR RAM DSP, filtros digitales, FFT (hasta 1024 puntos) Interfaces para procesadores externos Microcontroladores (Como el 8051)

13 Crea tus propios cores y sus drivers Para OPB o para PLB Xilinx ayuda con el IPIF, un modulo para conectar tu core con el OPB. Para crear masters y esclavos Driver Crea tus propios cores y sus drivers

14 Introduccion - FPGA? - Soft vs Hard processors - Soft processor en una FPGA - Ventajas de una FPGA: Conectividad, distribución de tareas HW-SW Sumario Microblaze Soft processor (Equipamiento de serie) Arquitectura Interna Pipeline Registros internos Repertorio de instrucciones Interrupciones y excepciones Configuraciones de buses Memoria IP cores para Microblaze (Equipamiento opcional) Estándar Core Connect de IBM para Microblaze Para OPB (On( On-Chip peripheral bus) Otros IP interesantes Crea tus propios cores y sus drivers Microblaze vs Otros sistemas Velocidad Consumo Tiempo de desarrollo y/o modificación del diseño Microblaze; Desarrollo de aplicaciones con EDK 6.1 (Manual de conduccion) Cadena dediseño y montaje Cadena de simulación Debugging Referencias MHz: : 0.5 D-MIPS Pentium IV 2.8 GHz: : 5340 D-MIPSD MicroBlaze en Vitex II Pro a 150 MHz: 125 D_MIPS Velocidad

15 Consumo 8051 Alrededor de 200 mw? Spartan IIE 300K 800mW (Microblaze IP core) P IV 2.8 GHz alrededor de 60 W Tiempo de desarrollo y/o modificación del diseño Ejemplo de comparación; implementación del procesador paralelo TOTEM diseñado para hacer multiplicaciones de matices

16 Introduccion - FPGA? - Soft vs Hard processors - Soft processor en una FPGA - Ventajas de una FPGA: Conectividad, distribución de tareas HW-SW Sumario Microblaze Soft processor (Equipamiento de serie) Arquitectura Interna Pipeline Registros internos Repertorio de instrucciones Interrupciones y excepciones Configuraciones de buses Memoria IP cores para Microblaze (Equipamiento opcional) Estándar Core Connect de IBM para Microblaze Para OPB (On( On-Chip peripheral bus) Otros IP interesantes Crea tus propios cores y sus drivers Microblaze vs Otros sistemas (Prestaciones) Velocidad Espacio Consumo Tiempo de desarrollo y/o modificación del diseño Microblaze; Desarrollo de aplicaciones con EDK 6.1 Cadena de diseño y montaje Cadena de simulación Debugging Fpga s que soportan Microblaze Ejemplo de Aplicación de Microblaze Referencias Cadena de diseño y montaje

17 Cadena de diseño y montaje: Microblaze system hardware Descripción del Hardware, el archivo.mhs Periféricos Configuración de buses de Microblaze Conexiones internas del sistema Distribución de la memoria Configuración de los periféricos y versión de los mismos (aunque se puede hacer en un archivo aparte.mpd y cargarlo a PlatGen) XPS dentro de EDK 6.1 ofrece un tutorial para crear este archivo. Solo tres comandos de asignación; BUS_INTERFACE PARAMETER (Hardware) PORT BEGINmicroblaze PARAMETERINSTANCE=microblaze_0 PARAMETERHW_VER=2.00.a PARAMETERC_DEBUG_ENABLED=1 PARAMETERC_NUMBER_OF_PC_BRK=8 PARAMETERC_NUMBER_OF_RD_ADDR_BRK=1 PARAMETERC_NUMBER_OF_WR_ADDR_BRK=1 PARAMETERC_USE_ICACHE=1 PARAMETERC_CACHE_BYTE_SIZE=8192 PARAMETERC_USE_DCACHE=0 PARAMETERC_ICACHE_BASEADDR=0x PARAMETERC_ICACHE_HIGHADDR=0x16003fff PARAMETERC_ADDR_TAG_BITS=13 BUS_INTERFACEDLMB=dlmb BUS_INTERFACEILMB=ilmb BUS_INTERFACEDOPB=mb_opb BUS_INTERFACEIOPB=mb_opb PORTCLK=sys_clk_s PORTDBG_CAPTURE=DBG_CAPTURE_s PORTDBG_CLK=DBG_CLK_s PORTDBG_REG_EN=DBG_REG_EN_s PORTDBG_TDI =DBG_TDI_s PORTDBG_TDO=DBG_TDO_s PORTDBG_UPDATE=DBG_UPDATE_s END BEGINopb_v20 PARAMETERINSTANCE=mb_opb PARAMETERHW_VER=1.10.b PARAMETERC_EXT_RESET_HIGH=0 PORTSYS_Rst =sys_rst_s PORTOPB_Clk =sys_clk_s END HDL (VHDL o Verilog) compilable Cadena de diseño y montaje: Microblaze system hardware Distribución de la memoria en un sistema Microblaze Dirección 0x Memoria de sistema El bit 24 define direcciones en el chip (si es cero) o fuera del chip (si es uno) Dirección dispositivos --- Espacios huecos en el espacio de direccionamiento

18 Cadena de diseño y montaje: Microblaze system hardware Descripción del archivo.mpd (Microprocessor Peripheral Description) Cualquier parámetro dentro del archivo.mpd será reescrito si esta especificado en el.mhs Facilita el intercambio de IP cores Descarga al archivo.mhs de información especifica de los IP. Cadena de diseño y montaje: Microblaze system hardware Xilinx s Platform Generator; ; Coge el archivo.mhs y genera archivos para implementación (.ngc datos de diseño lógico y constricciones), traducción a HDL (.v o.vhd. vhd), síntesis (.prj para ISE) y BlockRAM Memory Map (.bmm bmm) PlatGen necesita cargar los directorios de los IP utilizados en el diseño.

19 Cadena de diseño y montaje: Microblaze system software Descripción del software, el archivo.mss Parámetros de los drivers Especificación librerías utilizadas Archivos de sistema Creado para el generador de librerías LibGen en EDK 6.1 Cadena de diseño y montaje: Microblaze system software Xilinx s LibGen coge el archivo.mss,.mhs (dependencia harware-software) y la librerías especificadas en el MSS y comprueba errores sintácticos s genera un árbol de directorios con los ficheros necesarios para la implementación n en la FPGA. El compilador se encargara de generar el fichero con el código y las funciones integradas, utilizando los drivers de cada periférico.

20 Cadena de diseño y montaje: Microblaze system software Crea tus propias librerías para LibGen Necesitaremos los archivos MLD (Microprocessor Library Definition, para la definición de datos)) y Tcl (Tool Comand Language, para la generación de datos, aunque no es necesario) El archivo MLD esta asociado a uno o varios.h Cadena de diseño y montaje: Microblaze system software Crea tus propios drivers El archivo.mdd servirá a LibGen configurar los drivers, junto con los archivos fuentes del mismo.

21 Cadena de diseño y montaje: Microblaze system software Código C en nuestro sistema Microblaze Compilación sencilla con mb_gcc,, un executable.elf: compilador GNU #include "xgpio.h" #include "xparameters.h" void my_sleep(unsigned int seconds){ int i = 0; unsigned int delay = ; for (i=0;i<(seconds*delay);i++){} } main(){ XGpio leds; XGpio dips; int j,k = 0; //initialize leds XGpio_Initialize(&leds, XPAR_LEDS_4BIT_DEVICE_ID); XGpio_SetDataDirection(&leds, 0x ); //initialize GPIO used as an input for the DIP switch XGpio_Initialize(&dips, XPAR_DIPS_8BIT_DEVICE_ID); XGpio_SetDataDirection(&dips, 0x000000F1); xil_printf("the dip Switch will set the delay in seconds\n"); while(1){ j=(j+1)%16; //Write the value of j to the LED XGpio_DiscreteWrite(&leds, ~j); //Read the value from the DIP switch k = XGpio_DiscreteRead(&dips); xil_printf("count = %d, DIP= %d \n\r",j,k); my_sleep(k); } } file format elf32-microblaze Disassembly of section.text: <_start>: 0: b bri 24 // 18 <_start1> 4: or r0, r0, r0 8: b0007fff imm c: b808ffff brai -1 10: b0007fff imm : b808ffff brai <_start1>: 18: 21a01228 addi r13, r0, 4648 // 1228 <_SDA_BASE_> 1c: addi r2, r0, 4448 // 1160 <_SDA2_BASE_> 20: addi r1, r0, : 20c001f4 addi r6, r0, 500 // 1f4 <_exception_handler> 28: d8c10000 sw r6, r1, r0 2c: c4e10000 lhu r7, r1, r0 30: f4e0000a shi r7, r0, 10 34: f4c0000e shi r6, r0, 14 38: 20c001fc addi r6, r0, 508 // 1fc <_interrupt_handler> 3c: d8c10000 sw r6, r1, r0 40: c4e10000 lhu r7, r1, r0 44: f4e00012 shi r7, r0, 18 "Procesador Embebido Microblaze" 48: E. f4c00016 shi r6, r0, 22 4c: b9f40028 brlid r15, 40 Cadena de diseño y montaje: Microblaze system software Mapeo de nuestro código por el compilador Un Linker Script define el origen y la longitud de la memoria local y de la externa, para datos e instrucciones Varias opciones de compilación

22 Cadena de simulación SimGen es capaz de generar un modelo en VHDL de nuestro sistema. Solo tendremos que crear un testbench para nuestro sistema Esta opción puede ser poco produceente por ejemplo al usar UART s Necesitaremos Modelsim u otro simulador de HDL para realizar la simulación Debugging XMD sirve a GDB (debugger de GNU) a través de TCP/IP Opciones del debugging indicadas antes de compilar Varios modos para XMD y Microblaze de conectar Modo simulador de instrucciones solo para el procesador, sin periféricos XMD incluido en EDK 6.1

23 Ejemplo de Aplicación de Microblaze RTOS (Real Time Operating System) Sierra16 de RealFast Parte del SO implementado en hardware para ahorrar espacio de memoria Accesibilida en paralelo por hardware a recursos Semaforos, Priorizacion, timers. Monitor para dubugging,, accesos a memoria en procesadores paralelos. Referencias Get RealFast RTOS with Xilinx FPGAs by Tommy Klevin, Product Manager, RealFast SoftTOTEM: : AN FPGA IMPLEMENTATION OF THE TOTEM PARALLEL PROCESSOR Stephanie McBader, Luca Clementel, Alvise Sartori,, Andrea Boni and Peter Lee Reconfigurable computing,, Eduardo Sanchez Laboratoire de Systèmes Logiques, Ecole Polytechnique Fédérale de Lausanne Mary Jane Irwin,, CSE 597D Embedded Systems Desing, Pennsylvania State University. Configuracion de FPGA s xilinx,, Sergio Lopez-Buedo Buedo. Introduccion a los circuitos VLSI, Eduardo Boemo.

Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados

Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados Microprocesadores empotrados en FPGAs. Entorno de desarrollo de Xilinx Marta Portela García DISEÑO DE SISTEMAS EMPOTRADOS Sistemas

Más detalles

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Qué son los FPGAs? Matriz de bloques lógicos configurables (CLB) y una matriz de interconexión. Los bloques lógicos

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T INTRODUCCIÓN AL DISEÑO FPGA-DSP Cristian Sisterna, MSc UNSJ-C7T AGENDA Introducción Bloques DSPs en ilinx FPGAs MatLab/Simulink Simulink ilinx System Generator Ejemplo de Diseño con SysGen 2 ALGORITMOS

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

Introducción Componentes Básicos Concurrencia y Paralelismo Ejemplos Síntesis Lecturas Recomendadas. Arquitectura de Computadoras

Introducción Componentes Básicos Concurrencia y Paralelismo Ejemplos Síntesis Lecturas Recomendadas. Arquitectura de Computadoras Arquitectura de Computadoras Contenidos 1 Introducción Computadora Arquitectura Partes de una arquitectura 2 Componentes Básicos CPU Jerarquía de Memoria 3 Concurrencia y Paralelismo Arquitecturas concurrentes

Más detalles

Organización de Computadoras. Turno Recursantes Clase 8

Organización de Computadoras. Turno Recursantes Clase 8 Organización de Computadoras Turno Recursantes Clase 8 Temas de Clase Subsistema de Memoria Organización de Memoria Principal Notas de clase 8 2 Memoria Velocidad del procesador: se duplica cada 18 meses

Más detalles

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera:

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera: 1. Datos generales de la asignatura Nombre de la asignatura: Clave de la asignatura: Créditos(Ht-Hp_créditos): Carrera: Sistemas Embebidos SIB-1307 1-4-5 Ingeniería Electrónica 2. Presentación Caracterización

Más detalles

Microcontroladores PIC de Microchip: generalidades

Microcontroladores PIC de Microchip: generalidades Microcontroladores PIC de Microchip: generalidades PIC significa Peripheral Interface Controller Los fabrica ARIZONA MICROCHIP TECHNOLOGY. Fábrica principal: Chandler (Arizona). Otras en Tender (Arizona),

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

Arquitectura de Computadoras. Clase 5 Posibles soluciones a atascos

Arquitectura de Computadoras. Clase 5 Posibles soluciones a atascos Arquitectura de Computadoras Clase 5 Posibles soluciones a atascos Ejemplo de segmentación Notas de Clase 5 2 Atascos de un cauce (stall) Situaciones que impiden a la siguiente instrucción que se ejecute

Más detalles

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK OBJETIVO: Implementar un sistema embebido personalizado en la Spartan3e, utilizando

Más detalles

DESCRIPCION DEL SITEMA MASTER.

DESCRIPCION DEL SITEMA MASTER. DESCRIPCION DEL SITEMA MASTER. ESTRUCTURA. El sistema MASTER (Sistema Modular para Control Adaptativo en Tiempo Real) se ha implementado en base a un computador compatible PC-AT, dotado de una tarjeta

Más detalles

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL Sistemas Electrónicos Digitales Avanzados GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL PRÁCTICA FINAL GENERADOR AVANZADO DE FORMAS DE ONDA Dpto. Electrónica Curso 2013/2014 1. Introducción La

Más detalles

I2C. Ing. Pablo Martín Gomez pgomez@fi.uba.ar

I2C. Ing. Pablo Martín Gomez pgomez@fi.uba.ar I2C Ing. Pablo Martín Gomez pgomez@fi.uba.ar 1 Comunicaciones en un bus serie 2 Comunicaciones en un bus serie 3 I²C Velocidad 4 UART Universal Asynchronous Receiver Transmitter Estándar de comunicación

Más detalles

Linux embebido como herramienta para realizar reconfiguración parcial

Linux embebido como herramienta para realizar reconfiguración parcial HARDWARE RECONFIGURABLE - SISTEMAS EMBEBIDOS 1 Linux embebido como herramienta para realizar reconfiguración parcial Oscar David Sánchez, Carlos Iván Camargo Universidad Nacional de Colombia {odsanchezg,

Más detalles

picojava TM Características

picojava TM Características picojava TM Introducción El principal objetivo de Sun al introducir Java era poder intercambiar programas ejecutables Java entre computadoras de Internet y ejecutarlos sin modificación. Para poder transportar

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

Tema 7: Esquema del Funcionamiento de una Computadora. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 7: Esquema del Funcionamiento de una Computadora. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 7: Esquema del Funcionamiento de una Computadora Ingeniería Informática Universidad Autónoma de Madrid Esquema del Funcionamiento de una Computadora O B J E T I V O S Adquirir los conceptos básicos

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

Entorno de codiseño y cosimulación hardware-software basado en FPGA para la plataforma Arduino

Entorno de codiseño y cosimulación hardware-software basado en FPGA para la plataforma Arduino Entorno de codiseño y cosimulación hardware-software basado en FPGA para la plataforma Arduino J. M. Alcérreca, J. Castillo, J. I. Martínez josemaria@alcerreca.com, {javier.castillo, joseignacio.martinez}@urjc.es

Más detalles

Pipelining o Segmentación de Instrucciones

Pipelining o Segmentación de Instrucciones Pipelining o Segmentación de Instrucciones La segmentación de instrucciones es similar al uso de una cadena de montaje en una fábrica de manufacturación. En las cadenas de montaje, el producto pasa a través

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 2: La Unidad Aritmético - Lógica Objetivos Comprender cómo se realiza un sumador con propagación de acarreo

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

DISEÑO DE PLATAFORMAS DE COMPUTO BASADAS EN FPGAS

DISEÑO DE PLATAFORMAS DE COMPUTO BASADAS EN FPGAS DISEÑO DE PLATAFORMAS DE COMPUTO BASADAS EN FPGAS Introducción Oviedo Marcos Agenda Arquitecturas Mixtas Lógica Programable en FPGAs Ventajas y desventajas de plataformas basadas en

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

Curso sobre Microcontroladores Familia HC9S08 de Freescale

Curso sobre Microcontroladores Familia HC9S08 de Freescale Curso sobre Microcontroladores Familia HC9S08 de Freescale Por Ing. Daniel Di Lella EduDevices www.edudevices.com.ar e-mail: info@edudevices.com.ar Capítulo 8.- Inter Integrated Circuit Interface I2C MMIIC

Más detalles

Tema 1 Introducción. Arquitectura básica y Sistemas Operativos. Fundamentos de Informática

Tema 1 Introducción. Arquitectura básica y Sistemas Operativos. Fundamentos de Informática Tema 1 Introducción. Arquitectura básica y Sistemas Operativos Fundamentos de Informática Índice Descripción de un ordenador Concepto básico de Sistema Operativo Codificación de la información 2 1 Descripción

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

FPGA embedded Soft and Hard IP cores

FPGA embedded Soft and Hard IP cores Microprocesadores para Comunicaciones FPGA embedded Soft and Hard IP cores Diciembre de 2013 Jaime Roberto Ticay Rivas Índice 1. Introducción... 3 2. IP Cores... 4 2.1 Soft cores... 4 2.2 Hard cores...

Más detalles

TEMA 4: SISTEMAS MULTIPROCESADOR (MEMORIA COMPARTIDA) BLOQUE 2 Arquitecturas de computación paralela

TEMA 4: SISTEMAS MULTIPROCESADOR (MEMORIA COMPARTIDA) BLOQUE 2 Arquitecturas de computación paralela TEMA 4: SISTEMAS MULTIPROCESADOR (MEMORIA COMPARTIDA) BLOQUE 2 Arquitecturas de computación paralela 2 CONTENIDOS DE LA UNIDAD 4.4 Diseño de arquitecturas de memoria compartida y compartida-distribuida.

Más detalles

Figura 1.4. Elementos que integran a la Tecnología de Información.

Figura 1.4. Elementos que integran a la Tecnología de Información. 1.5. Organización, estructura y arquitectura de computadoras La Gráfica siguiente muestra la descomposición de la tecnología de información en los elementos que la conforman: Figura 1.4. Elementos que

Más detalles

Guía resumida para utilizar el microcontrolador CY7C68013

Guía resumida para utilizar el microcontrolador CY7C68013 Guía resumida para utilizar el microcontrolador CY7C68013 Camilo Quintáns Graña Miguel Ángel Domínguez Gómez Vigo, 2011 Índice 1. DESCRIPCIÓN DEL HARDWARE... 3 1.1. Introducción... 3 1.2. Recursos de memoria...

Más detalles

Detección de Presencia Serie para la Identificación de Módulos de Memoria

Detección de Presencia Serie para la Identificación de Módulos de Memoria Detección de Presencia Serie para la Identificación de Módulos de Memoria MSc. Guillermo Alvarez Bestard Tec. Miguel A. Machirán Simón Instituto de Cibernética Matemática y Física, AENTA Ministerio de

Más detalles

Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos).

Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos). Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos). Memoria Típica. Los datos almacenados en memoria tienen que pasar, en un momento

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

UNIVERSIDAD DE ORIENTE FACULTAD DE ICIENCIAS ECONOMICAS LAS REDES I. Licda. Consuelo Eleticia Sandoval

UNIVERSIDAD DE ORIENTE FACULTAD DE ICIENCIAS ECONOMICAS LAS REDES I. Licda. Consuelo Eleticia Sandoval UNIVERSIDAD DE ORIENTE FACULTAD DE ICIENCIAS ECONOMICAS LAS REDES I Licda. Consuelo Eleticia Sandoval OBJETIVO: ANALIZAR LAS VENTAJAS Y DESVENTAJAS DE LAS REDES DE COMPUTADORAS. Que es una red de computadoras?

Más detalles

NODO TELEGESTIÓN SISTEMAS DE REGULACIÓN Y CONTROL

NODO TELEGESTIÓN SISTEMAS DE REGULACIÓN Y CONTROL El nodo de telegestión es un dispositivo electrónico diseñado para monitorizar y controlar un punto de luz, permitiendo el encendido, apagado y regulación de una luminaria. El equipo es capaz de detectar

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

TEMA 1. Introducción

TEMA 1. Introducción TEMA 1 Introducción Contenidos: Visión estructurada de los sistemas de transmisión de datos. Arquitectura de protocolos. 1 Modelo simplificado de comunicaciones Fuente Transmisor Sistema de transmisión

Más detalles

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA INGENIERÍA EN MECATRÓNICA Fundamentos de Mecatrónica. Primavera 2007 Profesor: MC. Luis Eduardo Espinosa Maya Programa Qué

Más detalles

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES.

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES. 1.- a) Explica qué es un bit de información. Qué es el lenguaje binario? Bit es la abreviatura de Binary digit. (Dígito binario). Un bit es un dígito del lenguaje binario que es el lenguaje universal usado

Más detalles

Pipeline (Segmentación)

Pipeline (Segmentación) Pipeline (Segmentación) Segmentación (Pipeline) Es una técnica de implementación por medio de la cual se puede traslapar la ejecución de instrucciones. En la actualidad la segmentación es una de las tecnologías

Más detalles

Organizacion del Computador

Organizacion del Computador Universidad Nacional de Ingeniería Facultad de Ciencias Introducción a la Ciencia de la Computación Organizacion del Computador Prof: J. Solano 2011-I Objetivos Despues de estudiar este cap. el estudiante

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Ricardo.Sanz@upm.es Curso 2004-2005 Arquitectura de Computadores Arquitectura de computadores es la disciplina que estudia la organización y funcionamiento de los computadores

Más detalles

Estructura de Computadores

Estructura de Computadores Estructura de Computadores Tema 4. El procesador Departamento de Informática Grupo de Arquitectura de Computadores, Comunicaciones y Sistemas UNIVERSIDAD CARLOS III DE MADRID Contenido Elementos de un

Más detalles

PROBLEMAS DE FUNDAMENTOS DE TECNOLOGÍA DE COMPUTADORES T5. MEMORIAS

PROBLEMAS DE FUNDAMENTOS DE TECNOLOGÍA DE COMPUTADORES T5. MEMORIAS PROBLEMAS DE FUNDAMENTOS DE TECNOLOGÍA DE COMPUTADORES T5. MEMORIAS Tema 5 Memorias Hoja: 2 / 14 Base teórica La memoria es el lugar en el que se almacenan las instrucciones y los datos para que se puedan

Más detalles

BUSES. Una comunicación compartida Un conjunto de cables para comunicar múltiples subsistemas. Memoria

BUSES. Una comunicación compartida Un conjunto de cables para comunicar múltiples subsistemas. Memoria BUSES UPCO ICAI Departamento de Electrónica y Automática 1 Qué es un bus? Una comunicación compartida Un conjunto de cables para comunicar múltiples subsistemas Procesador Control Datapath Memoria Entrada

Más detalles

Introducción a LabVIEW FPGA y CompactRIO

Introducción a LabVIEW FPGA y CompactRIO Introducción a LabVIEW FPGA y CompactRIO Familia de Productos Embebidos de LabVIEW Tecnología FPGA Interconexiones Programables Bloques Lógicos Bloques de E/S Importancia de FPGA en Sistemas Alta Confiabilidad

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

MANUAL TÉCNICO DE IMPLEMENTACIÓN PROYECTO SOCIAL COMPUESCUELA. Elaborado por: Julián A. Hernández M.

MANUAL TÉCNICO DE IMPLEMENTACIÓN PROYECTO SOCIAL COMPUESCUELA. Elaborado por: Julián A. Hernández M. MANUAL TÉCNICO DE IMPLEMENTACIÓN PROYECTO SOCIAL COMPUESCUELA Elaborado por: Julián A. Hernández M. PONTIFICIA UNIVERSIDAD JAVERIANA CALI SANTIAGO DE CALI 2011 CONTENIDO Pág. INTRODUCCIÓN...3 1. ANÁLISIS

Más detalles

Arquitectura Von Neumann

Arquitectura Von Neumann Arquitectura Von Neumann Arquitectura Von Neumann Establecida en 1945 por Von Neumann Modelo básico de arquitectura utilizado en la mayoría de los computadores Su idea es la de conectar permanentemente

Más detalles

Práctica 3. Introducción a la configuración de un PC

Práctica 3. Introducción a la configuración de un PC Enunciados de prácticas Práctica 3. Introducción a la configuración de un PC Laboratorio de Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Práctica 3: Hoja: 2 / 8 Objetivos:

Más detalles

Programación estructurada (Interfaces Windows y Unix)

Programación estructurada (Interfaces Windows y Unix) Programación estructurada (Interfaces Windows y Unix) M. en C. Sergio Luis Pérez Pérez UAM CUAJIMALPA, MÉXICO, D. F. Trimestre 15-P. Sergio Luis Pérez (UAM CUAJIMALPA) Curso de programación estructurada

Más detalles

CAPÍTULO 4 ANÁLISIS DE IMPLEMENTACIONES

CAPÍTULO 4 ANÁLISIS DE IMPLEMENTACIONES CAPÍTULO 4 ANÁLISIS DE IMPLEMENTACIONES En el anterior capítulo se realizaron implementaciones en una red de datos para los protocolos de autenticación Kerberos, Radius y LDAP bajo las plataformas Windows

Más detalles

ESCUELA DE CIENCIAS BASICAS TECNOLOGIA E INGENIERIA 208006 Sistemas Embebidos Act 11: Reconocimiento Unidad 3 LECTURA 1

ESCUELA DE CIENCIAS BASICAS TECNOLOGIA E INGENIERIA 208006 Sistemas Embebidos Act 11: Reconocimiento Unidad 3 LECTURA 1 LECTURA 1 Qué diferencias hay entre aplicaciones para PC convencional o para sistemas embebidos? No es lo mismo desarrollar aplicaciones para un PC convencional que para un sistema embebido. El desarrollo

Más detalles

INTRODUCCIÓN. Definiciones ORDENADOR (RAE 1992): En esta asignatura computador y ordenador tiene el mismo significado

INTRODUCCIÓN. Definiciones ORDENADOR (RAE 1992): En esta asignatura computador y ordenador tiene el mismo significado INTRODUCCIÓN UPCO ICAI Departamento de Electrónica y Automática 1 Definiciones ORDENADOR (RAE 1992): Máquina electrónica dotada de una memoria de gran capacidad y de métodos de tratamiento de la información,

Más detalles

Grandes sistemas con abc building aplicaciones acéntia Building Control 3.0

Grandes sistemas con abc building aplicaciones acéntia Building Control 3.0 Grandes sistemas con abc building aplicaciones acéntia Building Control 3.0 Control Web en tiempo real abc es una completa solución de adquisición, control, automatización y gestión de instalaciones. La

Más detalles

UTILIZACIÓN DE SOFTWARE LIBRE EN ASIGNATURAS DE INTRODUCCIÓN A LOS MICROPROCESADORES.

UTILIZACIÓN DE SOFTWARE LIBRE EN ASIGNATURAS DE INTRODUCCIÓN A LOS MICROPROCESADORES. UTILIZACIÓN DE SOFTWARE LIBRE EN ASIGNATURAS DE INTRODUCCIÓN A LOS MICROPROCESADORES. Manuel Domínguez, Antoni Mas Departamento Ingeniería Electrónica, ETSETB, Barcelona Universidad Politécnica de Cataluña

Más detalles

Capítulo 1 Introducción a la Computación

Capítulo 1 Introducción a la Computación Capítulo 1 Introducción a la Computación 1 MEMORIA PRINCIPAL (RAM) DISPOSITIVOS DE ENTRADA (Teclado, Ratón, etc) C P U DISPOSITIVOS DE SALIDA (Monitor, Impresora, etc.) ALMACENAMIENTO (Memoria Secundaria:

Más detalles

... partes internas del computador!...

... partes internas del computador!... ... partes internas del computador!... LOS DISPOSITIVOS INTERNOS UNA PC EN SI, PUEDE "DAR SEÑALES DE VIDA" SIN EL TECLADO, SIN EL MONITOR, SIN EL RATÓN Y TODOS LOS DISPOSITIVOS EXTERNOS, AUNQUE NO TENDRÍA

Más detalles

DISEÑO DE SISTEMAS DIGITALES COMPLEJOS

DISEÑO DE SISTEMAS DIGITALES COMPLEJOS DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO3: IP Catalog y Controlador de video VGA OBJETIVOS: Aprender a añadir módulos al bus PLB desde el IP Catalog. Desarrollar aplicaciones SW que permitan

Más detalles

UNIVERSIDAD AUTÓNOMA DEL ESTADO DE MÉXICO CENTRO UNIVERSITARIO U. A.E. M. TEMASCALTEPEC. LICENCIATURA EN INFORMÁTICA ADMINISTRATIVA

UNIVERSIDAD AUTÓNOMA DEL ESTADO DE MÉXICO CENTRO UNIVERSITARIO U. A.E. M. TEMASCALTEPEC. LICENCIATURA EN INFORMÁTICA ADMINISTRATIVA UNIVERSIDAD AUTÓNOMA DEL ESTADO DE MÉXICO CENTRO UNIVERSITARIO U. A.E. M. TEMASCALTEPEC. LICENCIATURA EN INFORMÁTICA ADMINISTRATIVA SISTEMAS OPERATIVOS PARA RED GUIA PRIMER PARCIAL DISCENTES: EDUARDO VENCES

Más detalles

Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados

Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados Microprocesadores empotrados en FPGAs MicroBlaze TM. Descripción Hardware Marta Portela García INTRODUCCIÓN Por qué en FPGA? Mayores

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Introducción a Aplicaciones Industriales con LabVIEW FPGA y CompactRIO. Ing. Javier Olea Ing. De Campo Noreste de México National Instruments

Introducción a Aplicaciones Industriales con LabVIEW FPGA y CompactRIO. Ing. Javier Olea Ing. De Campo Noreste de México National Instruments Introducción a Aplicaciones Industriales con LabVIEW FPGA y CompactRIO Ing. Javier Olea Ing. De Campo Noreste de México National Instruments Familia de Productos Embebidos de LabVIEW Tecnología FPGA Interconexiones

Más detalles

Desarrollo de sistemas embebidos en FPGAs. Diseño e incorporación de periféricos

Desarrollo de sistemas embebidos en FPGAs. Diseño e incorporación de periféricos Desarrollo de sistemas embebidos en FPGAs. Diseño e incorporación de periféricos Alejandro Perez, Francisco Gutierrez, Rodolfo Cavallero, Juan Contreras Centro Universitario de Desarrollo en Automación

Más detalles

Solución de Control de Accesos de bajo coste! Transmisor SKA UniScan FlexiScan Remoto 4 canales Receptor RF

Solución de Control de Accesos de bajo coste! Transmisor SKA UniScan FlexiScan Remoto 4 canales Receptor RF Accedemos al MUNDO Solución de Control de Accesos de bajo coste! Transmisor SKA UniScan Remoto 4 canales Receptor RF Sistema características de la gama conexionado elementos de la gama arquitectura sistema

Más detalles

Ejercicios. 5.2 [5] < 5.3> Este ejercicio es similar al 5.1, pero ahora considere los fallos causados por permanecer en 1 (la señal es siempre 1).

Ejercicios. 5.2 [5] < 5.3> Este ejercicio es similar al 5.1, pero ahora considere los fallos causados por permanecer en 1 (la señal es siempre 1). Ejercicios 5.1 [5] < 5.3> Describa el efecto que un simple fallo permanecer como 0 (es decir, independiente de lo que debería ser, la señal es siempre 0) provocaría en los multiplexores en el camino de

Más detalles

Introduccion al Lenguaje C. Omar Andrés Zapata Mesa Grupo de Fenomenología de Interacciones Fundamentales, (Gfif) Universidad de Antioquia

Introduccion al Lenguaje C. Omar Andrés Zapata Mesa Grupo de Fenomenología de Interacciones Fundamentales, (Gfif) Universidad de Antioquia Introduccion al Lenguaje C Omar Andrés Zapata Mesa Grupo de Fenomenología de Interacciones Fundamentales, (Gfif) Universidad de Antioquia Introducción C es un lenguaje de programación creado en 1972 por

Más detalles

Sistemas de Operación II

Sistemas de Operación II Sistemas de Operación II Procesos en Sistemas Distribuidos Prof. Carlos Figueira Basado en material de Yudith Cardinale, Mariela Curiel (USB) Andrew Tanembaum y Marteen van Steen Contenido Clientes Servidores

Más detalles

Tema 2.1. Hardware. Arquitectura básica

Tema 2.1. Hardware. Arquitectura básica Tema 2.1 Hardware. Arquitectura básica 1 Partes Fundamentales Partes Fundamentales: Unidad Central de Proceso Procesador Microprocesador CPU-UCP Memoria Principal: Memoria Central Placa Base Chipset Buses

Más detalles

En el presente capítulo se describe la programación del instrumento virtual y cómo

En el presente capítulo se describe la programación del instrumento virtual y cómo Capítulo 6. Instrumentación virtual En el presente capítulo se describe la programación del instrumento virtual y cómo éste controla el circuito de captura de señales, la llamada telefónica y escribe los

Más detalles

Global File System (GFS)...

Global File System (GFS)... Global File System (GFS)... Diferente a los sistemas de ficheros en red que hemos visto, ya que permite que todos los nodos tengan acceso concurrente a los bloques de almacenamiento compartido (a través

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

Arquitectura Básica para Controladores de Lógica Difusa a Programarse en FPGAs

Arquitectura Básica para Controladores de Lógica Difusa a Programarse en FPGAs Arquitectura Básica para Controladores de Lógica Difusa a Programarse en FPGAs Juan C. Herrera Lozada, jcrls@ipn.mx Ma. de Lourdes Olvera Cárdenas, lolvera@ipn.mx Ma. Teresa Lozano Hernández. tlozanoh@ipn.mx

Más detalles

MANUAL DE OPERACIÓN COMUNICACIONES EDT-150-12V12V

MANUAL DE OPERACIÓN COMUNICACIONES EDT-150-12V12V 1 / 9 MANUAL DE OPERACIÓN COMUNICACIONES EDT-150-12V12V REF: NP-0715 Fabricado por PREMIUM S.A. www.premium.es 2 / 9 El EDT-150-12V dispone de servicios WEB a los que se puede acceder mediante un puerto

Más detalles

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el Diseño y Simulación de un circuito digital con VHDL, Síntesis e Implementación en un FPGA Profesor: M. C. Felipe Santiago Espinosa

Más detalles

SIMATIC Vision Sensor SIMATIC VS130-2 y VS 130-2vcr

SIMATIC Vision Sensor SIMATIC VS130-2 y VS 130-2vcr s SIMATIC Vision Sensor SIMATIC VS130-2 y VS 130-2vcr Getting Started Edición 09/2005 Primeros pasos hasta la puesta en servicio Instrucciones de seguridad Este manual contiene las informaciones necesarias

Más detalles

Tema 11: Instrumentación virtual

Tema 11: Instrumentación virtual Tema 11: Instrumentación virtual Solicitado: Tarea 09: Mapa conceptual: Instrumentación Virtual M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom

Más detalles

Arquitectura basica de un computador

Arquitectura basica de un computador Arquitectura basica de un computador Componentes o División básica de un computador Perifericos: Son todos los dispositivos de entrada (Input) y salida (Output): Monitor, Teclado, Ratón, Unidades de almacenamiento

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Tema 3. Buses. Arquitectura de computadores. Plan 96. Curso 2010-2011. Jerarquía de buses

Tema 3. Buses. Arquitectura de computadores. Plan 96. Curso 2010-2011. Jerarquía de buses Tema 3. Buses 1. Introducción Jerarquía de buses Clasificación Fases de una transacción 2. Transferencia de datos 3. Temporización Bus síncrono Bus asíncrono Bus semisíncrono 4. Arbitraje del bus Centralizado

Más detalles

Introducción. Trabajo Práctico de TAI 2 - PCI Express Página 1

Introducción. Trabajo Práctico de TAI 2 - PCI Express Página 1 Introducción El Bus PCI ha sido utilizado ampliamente utilizado por mas de una década y aun se seguirá utilizando por lo menos un poco mas. Sin embargo, dado el gran avance tecnológico, tanto los procesadores

Más detalles

I NTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA

I NTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA I. INTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA 1.1. Informática Informática (Información Automática) es la ciencia y la técnica del tratamiento automatizado de la información mediante el uso de ordenadores.

Más detalles

IntesisBox Modbus Server - Notifier ID3000 series

IntesisBox Modbus Server - Notifier ID3000 series Server - ID3000 series Pasarela para la integración de centrales de alarma contra de incendios ID3000, ID3002, ID50 e ID60 en sistemas de control (RTU y TCP). Integre centrales de alarma contra incendios

Más detalles

Entorno de Ejecución del Procesador Intel Pentium

Entorno de Ejecución del Procesador Intel Pentium Arquitectura de Ordenadores Arquitectura del Procesador Intel Pentium Abelardo Pardo abel@it.uc3m.es Universidad Carlos III de Madrid Departamento de Ingeniería Telemática Entorno de Ejecución del Procesador

Más detalles

Periféricos Interfaces y Buses

Periféricos Interfaces y Buses Periféricos Interfaces y Buses I. Arquitectura de E/S II. Programación de E/S III. Interfaces de E/S de datos IV. Dispositivos de E/S de datos V. Buses Buses de E/S (PCI, PC104, AGP). Sistemas de interconexión

Más detalles

Curso Introducción A Los Computadores Y Open Office Writer

Curso Introducción A Los Computadores Y Open Office Writer Curso Introducción A Los Computadores Y Open Office Writer Instructor: Carlos Hernán López Tecnólogo En Electrónica. Estudiante De Ingeniería Electrónica. Universidad Del Valle 2011 Fuentes: Wikipedia

Más detalles

Implementación de MicroBlaze para Robótica Móvil

Implementación de MicroBlaze para Robótica Móvil DE INGENIERÍA ARQUITECTURA Y DISEÑO VERTICE 2012 Implementación de MicroBlaze para Robótica Móvil Ing. Ulises Orozco-Rosas 1, Dr. Roberto Herrera-Charles 2 Resumen: Este artículo presenta la configuración

Más detalles

Tema 2: Arquitectura del repertorio de instrucciones. Visión del computador que tiene el programador en bajo nivel.

Tema 2: Arquitectura del repertorio de instrucciones. Visión del computador que tiene el programador en bajo nivel. Tema 2: Arquitectura del repertorio de instrucciones Visión del computador que tiene el programador en bajo nivel. Lo que el programador en lenguaje ensamblador debe conocer para escribir programas: (1)

Más detalles

Introducción a Computación

Introducción a Computación Curso: Modelización y simulación matemática de sistemas Metodología para su implementación computacional Introducción a Computación Esteban E. Mocskos (emocskos@dc.uba.ar) Facultades de Ciencias Exactas

Más detalles

Uso del simulador Modelsim

Uso del simulador Modelsim Introducción al laboratorio: Uso del simulador Modelsim Diseño y Simulación de Circuitos Electrónicos por Asistido por Computador Ingeniería Técnica Industrial Electrónica Modelsim es un software que administra

Más detalles

Introducción a Arduino

Introducción a Arduino 9 de Noviembre de 2012 Indice-I Plataforma Estándar Electrónica IDE Conceptos básicos electrónica Ley de Ohm y efecto Joule Ruido, puntos sin conexión y efecto rebote Semiconductores Conceptos básicos

Más detalles

Tema 2. Diseño del repertorio de instrucciones

Tema 2. Diseño del repertorio de instrucciones Soluciones a los problemas impares Tema 2. Diseño del repertorio de instrucciones Arquitectura de Computadores Curso 2009-2010 Tema 2: Hoja: 2 / 16 Tema 2: Hoja: 3 / 16 Base teórica Al diseñar un computador,

Más detalles

Arquitectura Segmentada: Conceptos básicosb

Arquitectura Segmentada: Conceptos básicosb Arquitectura Segmentada: Conceptos básicosb Diseño de Sistemas Digitales EL-3310 I SEMESTRE 2008 4 ARQUITECTURA SEGMENTADA (PIPELINING) (4 SEMANAS) 4.1 Conceptos básicos de la arquitectura segmentada Paralelismo

Más detalles

Descripción del producto

Descripción del producto del producto La Unidad Terminal Remota (UTR), perteneciente a la serie Hadron es una solución para sistemas de supervisión y control para la generación, transmisión y distribución de energía eléctrica.

Más detalles

INTEGRACIÓN HERMES POSITRÓN

INTEGRACIÓN HERMES POSITRÓN INTEGRACIÓN HERMES POSITRÓN 1. SOFTWARE CENTRAL - HERMES La aplicación Hermes es una herramienta para el control de tráfico interurbano, túneles y para el mantenimiento de equipos de carretera. Todo el

Más detalles