Tema 5 - Fundamentos de dispositivos lógicos programables

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Tema 5 - Fundamentos de dispositivos lógicos programables"

Transcripción

1 - Fundamentos de dispositivos lógicos programables Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco erm@correo.azc.uam.mx Sitio Web: Diseño Lógico page 1

2 - Fundamentos de dispositivos lógicos programables - (4.5 hrs.) C 1. Tecnología básica de dispositivos lógicos programables. 1.1 y. 1.2 y C. 2. Arquitectura de un. 3. Arreglos en lenguaje VHDL Diseño Lógico page 2

3 C Los dispositivos lógicos programables (s por sus siglas en inglés)... fueron introducidos en los 70 s... son circuitos integrados de proposito general... permiten ser configurados en distintas formas para implementar diversos circuitos lógicos Fundamentos de s page 3

4 C Los dispositivos lógicos programables (s por sus siglas en inglés)... fueron introducidos en los 70 s... son circuitos integrados de proposito general... permiten ser configurados en distintas formas para implementar diversos circuitos lógicos Fundamentos de s page 3

5 C Los dispositivos lógicos programables (s por sus siglas en inglés)... fueron introducidos en los 70 s... son circuitos integrados de proposito general... permiten ser configurados en distintas formas para implementar diversos circuitos lógicos Fundamentos de s page 3

6 C Los arreglos lógicos programables (s por sus siglas en inglés... fueron los primeros s introducidos al mercado... pueden implementar cualquier funcion expresada como producto de sumas... son eficientes en cuanto al área que ocupan Fundamentos de s page 4

7 C Los arreglos lógicos programables (s por sus siglas en inglés... fueron los primeros s introducidos al mercado... pueden implementar cualquier funcion expresada como producto de sumas... son eficientes en cuanto al área que ocupan Fundamentos de s page 4

8 C Los arreglos lógicos programables (s por sus siglas en inglés... fueron los primeros s introducidos al mercado... pueden implementar cualquier funcion expresada como producto de sumas... son eficientes en cuanto al área que ocupan Fundamentos de s page 4

9 C La matriz lógica programable ( por sus siglas en inglés)... fue introducida en 1978 por la empresa Monolithic Memories... remplaza los fusibles en el plano OR por ser dificiles de fabricar correctamente y reducir la velocidad de respuesta... compenza la reduccion en flexibilidad con su fabricación en distintos tamaños... puede ofrecer características adicionales mediante las macroceldas (figura del lado derecho) Fundamentos de s page 5

10 C La matriz lógica programable ( por sus siglas en inglés)... fue introducida en 1978 por la empresa Monolithic Memories... remplaza los fusibles en el plano OR por ser dificiles de fabricar correctamente y reducir la velocidad de respuesta... compenza la reduccion en flexibilidad con su fabricación en distintos tamaños... puede ofrecer características adicionales mediante las macroceldas (figura del lado derecho) Fundamentos de s page 5

11 C La matriz lógica programable ( por sus siglas en inglés)... fue introducida en 1978 por la empresa Monolithic Memories... remplaza los fusibles en el plano OR por ser dificiles de fabricar correctamente y reducir la velocidad de respuesta... compenza la reduccion en flexibilidad con su fabricación en distintos tamaños... puede ofrecer características adicionales mediante las macroceldas (figura del lado derecho) Fundamentos de s page 5

12 C La matriz lógica programable ( por sus siglas en inglés)... fue introducida en 1978 por la empresa Monolithic Memories... remplaza los fusibles en el plano OR por ser dificiles de fabricar correctamente y reducir la velocidad de respuesta... compenza la reduccion en flexibilidad con su fabricación en distintos tamaños... puede ofrecer características adicionales mediante las macroceldas (figura del lado derecho) Fundamentos de s page 5

13 C Los arreglos lógicos genéricos (s por sus siglas en inglés)... tienen las mismas propiedades que los s pero pueden ser borrados y reprogramados... utilizan fusibles reprogramables implementados con tecnología EECMOS (electrically erasable complementary metal-oxide-semiconductor) Fundamentos de s page 6

14 C Los arreglos lógicos genéricos (s por sus siglas en inglés)... tienen las mismas propiedades que los s pero pueden ser borrados y reprogramados... utilizan fusibles reprogramables implementados con tecnología EECMOS (electrically erasable complementary metal-oxide-semiconductor) Fundamentos de s page 6

15 Los dispositivos lógicos programables complejos (Cs por sus siglas en inglés) C... contienen varios bloques lógicos que se pueden conectar mediante fusibles programables... tambien incluyen macroceldas a las salidas del plano OR con buffers tri-estado que permiten que cada patilla se configure como entrada o salida... desperdician macroceldas cuando la patilla es usada como entrada... son programados con metodos ISP (del inglés In-system programming) Fundamentos de s page 7

16 Los dispositivos lógicos programables complejos (Cs por sus siglas en inglés) C... contienen varios bloques lógicos que se pueden conectar mediante fusibles programables... tambien incluyen macroceldas a las salidas del plano OR con buffers tri-estado que permiten que cada patilla se configure como entrada o salida... desperdician macroceldas cuando la patilla es usada como entrada... son programados con metodos ISP (del inglés In-system programming) Fundamentos de s page 7

17 Los dispositivos lógicos programables complejos (Cs por sus siglas en inglés) C... contienen varios bloques lógicos que se pueden conectar mediante fusibles programables... tambien incluyen macroceldas a las salidas del plano OR con buffers tri-estado que permiten que cada patilla se configure como entrada o salida... desperdician macroceldas cuando la patilla es usada como entrada... son programados con metodos ISP (del inglés In-system programming) Fundamentos de s page 7

18 Los dispositivos lógicos programables complejos (Cs por sus siglas en inglés) C... contienen varios bloques lógicos que se pueden conectar mediante fusibles programables... tambien incluyen macroceldas a las salidas del plano OR con buffers tri-estado que permiten que cada patilla se configure como entrada o salida... desperdician macroceldas cuando la patilla es usada como entrada... son programados con metodos ISP (del inglés In-system programming) Fundamentos de s page 7

19 C Los arreglos de compuertas programables in-situ (s por sus siglas en inglés)... tienen una densidad mayor que los Cs (>> 10,000 compuertas)... no contienen compuertas, sino bloques lógicos que son interconectados mediante fusibles reconfigurables... manejan, en su mayoría, LUTs (del inglés Look-Up Tables) como bloque lógico, los cuales vienen en varios tamaños y son capaces de implementar funciones lógicas. Fundamentos de s page 8

20 C Los arreglos de compuertas programables in-situ (s por sus siglas en inglés)... tienen una densidad mayor que los Cs (>> 10,000 compuertas)... no contienen compuertas, sino bloques lógicos que son interconectados mediante fusibles reconfigurables... manejan, en su mayoría, LUTs (del inglés Look-Up Tables) como bloque lógico, los cuales vienen en varios tamaños y son capaces de implementar funciones lógicas. Fundamentos de s page 8

21 C Los arreglos de compuertas programables in-situ (s por sus siglas en inglés)... tienen una densidad mayor que los Cs (>> 10,000 compuertas)... no contienen compuertas, sino bloques lógicos que son interconectados mediante fusibles reconfigurables... manejan, en su mayoría, LUTs (del inglés Look-Up Tables) como bloque lógico, los cuales vienen en varios tamaños y son capaces de implementar funciones lógicas. Fundamentos de s page 8

22 C Fundamentos de s page 9

Tema 7 - Registros, contadores y memorias

Tema 7 - Registros, contadores y memorias -, contadores y memorias Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras 1121025 Arquitecaura de Computadoras - Introducción a la Arquitectura de Computadoras Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma

Más detalles

DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I.

DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I. DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I. RESUMEN En este artículo intentamos mostrar el cambio dramático

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

Diseño de un generador de funciones Capítulo II Antecedentes

Diseño de un generador de funciones Capítulo II Antecedentes Capítulo II Diseño de un generador de funciones Antecedentes 2.1. Generadores de señales Un generador de señal está encargado de producir una señal eléctrica dependiente del tiempo, con diferentes características

Más detalles

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL Objetivos OBJETIVO GENERAL Laboratorio de Diseño o de Sistemas Digitales EL-3312 Diseñar, simular, sintetizar e implementar sistemas digitales usando lenguajes de alto nivel para la descripción de hardware

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

El diseño con PLDs señala las siguientes ventajas en relación a la lógica cableada:

El diseño con PLDs señala las siguientes ventajas en relación a la lógica cableada: Diseño Lógico Hoy Día La mayor parte de los diseños de nivel de sistema incorporan diversos dispositivos, como son las memorias RAM, ROM, controladores, procesadores, etc., que se interconectan mediante

Más detalles

Arreglos de compuertas programables en campo, FPGA's. (compendio)

Arreglos de compuertas programables en campo, FPGA's. (compendio) Arreglos de compuertas programables en campo, FPGA's. (compendio) M. en C. Juan Carlos Gonzáles Robles. Jefe del Departamento de Producción y Adecuación de Tecnologías del CINTEC-IPN. Ing. Eduardo Vega

Más detalles

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2008 Memorias Clasificación según acceso: Aleatorio Volátiles No

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

4.1. Circuitos Digitales Configurables

4.1. Circuitos Digitales Configurables 4.1. Circuitos Digitales Configurables Los circuitos digitales configurable son sistemas electrónicos digitales cuya función se puede modificar utilizando solamente una parte de los elementos que los componen

Más detalles

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR Cód. 25243 Laboratorio electrónico Nº 5 PUERTAS LOGICAS Objetivo Aplicar los conocimientos de puertas lógicas Familiarizarse con los circuitos integrados Objetivo específico Conectar los circuitos integrados

Más detalles

FIELD PROGRAMMABLE GATE ARRAY (FPGA)

FIELD PROGRAMMABLE GATE ARRAY (FPGA) FIELD PROGRAMMABLE GATE ARRAY 21 FIELD PROGRAMMABLE GATE ARRAY (FPGA) 2.1. QUÉ ES UN FPGA? Un FPGA (field programmable gate array) es un dispositivo semiconductor que contiene componentes lógicos programables

Más detalles

FPGAs. Susana Borromeo Área de Tecnología Electrónica. Diseño de Sistemas Electrónicos. 2014/2015. Metodología de Diseño. Características generales

FPGAs. Susana Borromeo Área de Tecnología Electrónica. Diseño de Sistemas Electrónicos. 2014/2015. Metodología de Diseño. Características generales FPGAs Susana Borromeo Área de Tecnología Electrónica Esquema Conceptos generales Dispositivos Lógicos Programables FPGAs Metodología de Diseño VHDL Características generales VHDL Comportamental y Estructural

Más detalles

Práctica 3 Captura Esquemática

Práctica 3 Captura Esquemática Práctica 3 Captura Esquemática Objetivos particulares Durante el desarrollo de esta práctica el alumno implementara físicamente los operadores lógicos And, Or, Nand, Nor y Exor de tres entradas en un solo

Más detalles

TECNOLOGIA. R = (Vcc Vd) / I (Vd: caida en el LED) INTENSIDAD LUMINICA: SE MIDE EN CANDELA (Cd)

TECNOLOGIA. R = (Vcc Vd) / I (Vd: caida en el LED) INTENSIDAD LUMINICA: SE MIDE EN CANDELA (Cd) TECNOLOGIA LED R = (Vcc Vd) / I (Vd: caida en el LED) INTENSIDAD LUMINICA: SE MIDE EN CANDELA (Cd) LED TIPICO: If = 10mA ---------> 1mCd Vcc Vd LEDs Es un dispositivo semiconductor (diodo) que emite luz

Más detalles

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS. 1. Introducción. 2. Dispositivos lógicos programables.

TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS. 1. Introducción. 2. Dispositivos lógicos programables. T-5 Dispositivos lógicos programables. Memorias. TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS.. Introducción. Las modernas técnicas de circuitos integrados permiten combinar miles e incluso millones

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación

Más detalles

Dispositivos de lógica programable

Dispositivos de lógica programable Dispositivos de lógica programable SISTEMAS ELECTRÓNICOS DIGITALES 2 o Curso Ingeniería Técnica Industrial Especialidad en Electrónica Industrial Dr. José Luis Rosselló Índice Conceptos generales Dispositivos

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Capítulo 2 Dispositivos Lógicos Programables En este capítulo se abordan los distintos tipos de Dispositivos Lógicos Programables, incluyendo su definición, su clasificación y una breve explicación de

Más detalles

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R.

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Arquitecturas de Computadores 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Temario 1. Introducción 2. Sistemas Combinacionales (SC) 3. Implantación de SC mediante PLA 4. Sistemas Secuenciales

Más detalles

MÓDULO Nº8 MEMORIAS SEMICONDUCTORAS

MÓDULO Nº8 MEMORIAS SEMICONDUCTORAS MÓDULO Nº MEMORIAS SEMICONDUCTORAS UNIDAD: LÓGICA PROGRAMABLE TEMAS: Concepto de Memoria. Memorias RAM. Memorias ROM. OBJETIVOS: Entender la diferencia entre lógica cableada y lógica programable. Explicar

Más detalles

Electrónica Básica. Lógica Programable. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC

Electrónica Básica. Lógica Programable. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC Electrónica ásica Lógica Programable Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y utomática ULPG Matrices lógicas Programables (PLs) loques funcionales prefabricados de

Más detalles

BLOQUE 2 (PARTE 2) DISPOSITIVOS LÓGICOS PROGRAMABLES

BLOQUE 2 (PARTE 2) DISPOSITIVOS LÓGICOS PROGRAMABLES SISTEMAS ELECTRÓNICOS DIGITALES BLOQUE 2 CIRCUITOS DIGITALES CONFIGURABLES (PARTE 2) DISPOSITIVOS LÓGICOS PROGRAMABLES Enrique Mandado Pérez María José Moure Rodríguez DEFINICIÓN DE DISPOSITIVO LÓGICO

Más detalles

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas MARCO TEORICO. Estructura Interna

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas MARCO TEORICO. Estructura Interna AUTOMATIZACION GUIA DE TRABAJO 3 DOCENTE: VICTOR HUGO BERNAL UNIDAD No. 3 OBJETIVO GENERAL Realizar una introducción a los controladores lógicos programables OBJETIVOS ESPECIFICOS: Reconocer la arquitectura

Más detalles

LABORATORIO N 04: Compuertas Básicas, Universales y Especiales

LABORATORIO N 04: Compuertas Básicas, Universales y Especiales LORTORIO N 04: Compuertas ásicas, Universales y Especiales 1. OJETIVOS. - Verificar experimentalmente la operación de las compuertas digitales básicas: ND, OR y NOT. - Verificar experimentalmente la operación

Más detalles

METODOLOGIA DE DISEÑO DE CIRCUITOS INTEGRADOS DIGITALES

METODOLOGIA DE DISEÑO DE CIRCUITOS INTEGRADOS DIGITALES METODOLOGIA DE DISEÑO DE CIRCUITOS INTEGRADOS DIGITALES MANUEL JESÚS BELLIDO DÍAZ ANGEL BARRIGA BARROS GUIÓN DEL TEMA INTRODUCCIÓN METODOLOGÍA DE DISEÑO TÉCNICAS DE IMPLEMENTACIÓN DE CID COMPARACIÓN ENTRE

Más detalles

Los rangos de salidas esperados varían normalmente entre 0 y 0.4V para una salida baja y de 2.4 a 5V para una salida alta.

Los rangos de salidas esperados varían normalmente entre 0 y 0.4V para una salida baja y de 2.4 a 5V para una salida alta. FAMILIAS LOGICAS DE CIRCUITOS INTEGRADOS Una familia lógica es el conjunto de circuitos integrados (CI s) los cuales pueden ser interconectados entre si sin ningún tipo de Interface o aditamento, es decir,

Más detalles

UNIVERSIDAD TECNICA DE AMBATO NOVENO SEMESTRE INGENIERIA ROBOTICA MICROCONTROLADORES Y SU APLICACION EN ROBOTICA PROFESOR: UTA 2009 ING. G.

UNIVERSIDAD TECNICA DE AMBATO NOVENO SEMESTRE INGENIERIA ROBOTICA MICROCONTROLADORES Y SU APLICACION EN ROBOTICA PROFESOR: UTA 2009 ING. G. MICROCONTROLADORES Y SU APLICACION EN UTA 2009 PROFESOR: ING. G. ALMEIDA SEMESTRE MARZO - JULIO 2009 OBJETIVOS DISTINGUIR LOS ASPECTOS GENERALES, CARACTERISTICAS Y FUNCIONAMIENTO DE UN MICROCONTROLADOR.

Más detalles

Familias Lógicas. Licenciatura en Ingeniería en Computación. Unidad de Aprendizaje: Lógica Secuencial y Combinatoria. Unidad de competencia II

Familias Lógicas. Licenciatura en Ingeniería en Computación. Unidad de Aprendizaje: Lógica Secuencial y Combinatoria. Unidad de competencia II C.U. UAEM Valle de Teotihuacán Licenciatura en Ingeniería en Computación Familias Lógicas Unidad de Aprendizaje: Lógica Secuencial y Combinatoria Unidad de competencia II Elaborado por: M. en I. José Francisco

Más detalles

Electrónica Básica. Introducción. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC

Electrónica Básica. Introducción. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC Electrónica Básica Introducción Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC INTRODUCCIÓN Estructura típica de un sistema digital 2 Analog A/D TDS D/A

Más detalles

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs)

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Objetivos: 1.- Conocer la función, características básicas y tipos de memorias. 2.- Conocer la función, características principales y aplicaciones

Más detalles

Introducción al Diseño Digital con FPGAs.

Introducción al Diseño Digital con FPGAs. Introducción al Diseño Digital con FPGAs www.emtech.com.ar Temario del curso Dia 1: Introducción y ejemplo practico paso a paso Dia 2: VHDL, flujo de diseño y otro ejemplo Dia 3: Detalles de diseño e implementacion

Más detalles

METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE.

METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE. METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE. Iñigo Aguirre; Jose Angel Ezquerra. Universidad del País Vasco. Euskal Herriko Unibertsitatea. jtpagpoi@sc.ehu.es. RESUMEN Este trabajo tiene por

Más detalles

Para implementar una memoria de mayor capacidad se deben considerar los siguientes pasos:

Para implementar una memoria de mayor capacidad se deben considerar los siguientes pasos: UNIVERSIDAD NACIONAL AUTONOMA DE MEXICO FACULTAD DE INGENIERIA LAB. DISPOSITIVOS DE ALMACENAMIENTO Y DE E/S PREVIO #5 IMPLEMENTACION DE MEMORIAS DE MAYOR CAPACIDAD 1. Investigar los pasos que se deben

Más detalles

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES Fundamentos de Computadores. Sistemas Combinacionales Programables. T9-1 Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES INDICE: INTRODUCCIÓN CLASIFICACION DE LOS SCP SISTEMAS COMBINACIONALES PROGRAMABLES

Más detalles

circuitos digitales números binario.

circuitos digitales números binario. CIRCUITOS DIGITALES Vamos a volver a los circuitos digitales. Recordemos que son circuitos electrónicos que trabajan con números, y que con la tecnología con la que están realizados, estos números están

Más detalles

1. INTRODUCCIÓN A LOS CIRCUITOS DIGITALES PROGRAMABLES

1. INTRODUCCIÓN A LOS CIRCUITOS DIGITALES PROGRAMABLES UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA Instituto de Electrónica y Mecatrónica 1. INTRODUCCIÓN A LOS CIRCUITOS DIGITALES PROGRAMABLES S I S T E M A S D I G I T A L E S 1 M. C. Felipe Santiago Espinosa Octubre

Más detalles

CAPITULO 1 INTRODUCCION AL PROYECTO

CAPITULO 1 INTRODUCCION AL PROYECTO CAPITULO 1 INTRODUCCION AL PROYECTO 1 INTRODUCCION AL PROYECTO 1.1 Marco Teórico Los procesadores digitales de señales ganaron popularidad en los años sesentas con la introducción de la tecnología de estado

Más detalles

Diseñando Hardware a la Medida con el Módulo de LabVIEW FPGA. ni.com/fpga

Diseñando Hardware a la Medida con el Módulo de LabVIEW FPGA. ni.com/fpga Diseñando Hardware a la Medida con el Módulo de LabVIEW FPGA Agenda Beneficios de los FPGAs para Sistemas de Medición y Control Programando FPGAs con LabVIEW Aplicaciones Recursos 3 Qué es un FPGA? Interconexiones

Más detalles

&217$'25(6',*,7$/(6. Figura 1.

&217$'25(6',*,7$/(6. Figura 1. &217$'25(6',*,7$/(6 En casi todos los tipos de equipo digital se encuentran flip-flops programados o conectados como contadores, usándose no solamente como contadores sino como equipo para dar la secuencia

Más detalles

SESIÓN II ELECTRÓNICA.

SESIÓN II ELECTRÓNICA. ELECTRÓNICA. SESIÓN II Es la rama de la física y especialización de la ingeniería aplicada al diseño de dispositivos, por lo general circuitos electrónicos, cuyo funcionamiento depende del flujo de electrones

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos INDICE Prólogo XI 1. Operación del Computador 1 1.1. Calculadoras y Computadores 2 1.2. Computadores digitales electrónicos 5 1.3. Aplicación de los computadores a la solución de problemas 7 1.4. Aplicaciones

Más detalles

Electrónica Digital. Tema 2. Dispositivos Lógicos Programables (PLD)

Electrónica Digital. Tema 2. Dispositivos Lógicos Programables (PLD) Electrónica Digital Tema 2 Dispositivos Lógicos Programables (PLD) Dispositivos Lógicos Programables Introducción. Dispositivos Lógicos Programables Sencillos. Dispositivos Lógicos Programables Complejos.

Más detalles

Implementación de una unidad procesadora de cuatro bits, como material didáctico

Implementación de una unidad procesadora de cuatro bits, como material didáctico Implementación de una unidad procesadora de cuatro bits, como material didáctico Ángel Eduardo Gasca Herrera Universidad Veracruzana Agasca3@hotmail.com Jacinto Enrique Pretelin Canela Universidad Veracruzana

Más detalles

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5 Planificaciones 8641 - Sistemas Digitales Docente responsable: ALVAREZ NICOLAS 1 de 5 OBJETIVOS El objetivo de la materia es aprender a diseñar arquitecturas digitales utilizando como herramienta los lenguajes

Más detalles

Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital

Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital MARÍA ISABEL SCHIAVON - 2005 1907 1 950 RESEÑA HISTORICA 60 MSI 70 LSI microprocesador 1958 80 circuitos

Más detalles

Microcontroladores y FPGA para el Desarrollo de Sistemas Embebidos

Microcontroladores y FPGA para el Desarrollo de Sistemas Embebidos Microcontroladores y FPGA para el Desarrollo de Sistemas Embebidos Ing. José Manuel Vólquez Ingeniero de Aplicaciones National Instruments de México La Esencia de las Plataformas Embebidas Sistema Integrado

Más detalles

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos Bloques para el encaminamiento y/o transferencia de datos Multiplexor Demultiplexor Decodificador Codificador Bloques para el procesamiento de datos Comparador Bloques para la generación de funciones booleanas

Más detalles

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS Introducción a VHDL Sistemas digitales UTM-2006 JJVS Surgimiento de VHDL Necesidad de nuevos métodos ya que los clásicos (esquemáticos), llegan a ser ineficientes en diseños de altas escalas de integración.

Más detalles

Dispositivos lógicos programables (PLDs(

Dispositivos lógicos programables (PLDs( Dispositivos lógicos programables (PLDs( PLDs) SPLDs (Dispositivos lógicos programables simples) Estructuras lógicas basadas en planos AND-OR CPLDs (Dispositivos lógicos programables complejos) Combinan

Más detalles

Contenidos. Arquitectura de ordenadores (fundamentos teóricos) Elementos de un ordenador. Periféricos

Contenidos. Arquitectura de ordenadores (fundamentos teóricos) Elementos de un ordenador. Periféricos Arquitectura de ordenadores (fundamentos teóricos) Representación de la información Estructura de un microprocesador Memorias Sistemas de E/S Elementos de un ordenador Microprocesador Placa base Chipset

Más detalles

Sistemas Electrónicos Industriales II EC2112

Sistemas Electrónicos Industriales II EC2112 Sistemas Electrónicos Industriales II EC2112 PROF. JULIO CRUZ DEPARTAMENTO DE ELECTRÓNICA TRIMESTRE ENERO-MARZO 2009 SECCIÓN 2 Previamente Estructura general de un sistema secuencial Ejercicio Clase 6

Más detalles

Estudio del impacto de implementaciones de operadores aritméticos en dispositivos lógicos programables FPGA

Estudio del impacto de implementaciones de operadores aritméticos en dispositivos lógicos programables FPGA Estudio del impacto de implementaciones de operadores aritméticos en dispositivos lógicos programables FPGA Marino, Ariel Alberto Grupo de Investigación y Servicios en Electrónica y Control Facultad Regional

Más detalles

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y XOR y Con las puertas básicas podemos implementar cualquier función booleana. Sin embargo existen

Más detalles

Universidad Carlos III de Madrid Electrónica Digital Ejercicios

Universidad Carlos III de Madrid Electrónica Digital Ejercicios 1. Dibuje el esquema de transistores de una puerta lógica que realice la función lógica f = ab(c+d) a) en tecnología NMOS b) en tecnología CMOS 2. El circuito que aparece en la figura pertenece a la familia

Más detalles

Modelo de Guía Docente. Escuela Técnica Superior de Ingeniería. Informática. Grado en Ingeniería Informática

Modelo de Guía Docente. Escuela Técnica Superior de Ingeniería. Informática. Grado en Ingeniería Informática Modelo de Guía Docente Escuela Técnica Superior de Ingeniería Informática Grado en Ingeniería Informática GUÍA DOCENTE DE LA ASIGNATURA: Sistemas Electrónicos Digitales Curso Académico 2013/2014 Fecha:

Más detalles

Tecnología Electrónica 3º Ingeniero Aeronáutico. Memorias. Mª Ángeles Martín Prats

Tecnología Electrónica 3º Ingeniero Aeronáutico. Memorias. Mª Ángeles Martín Prats Tecnología Electrónica 3º Ingeniero Aeronáutico Memorias Mª Ángeles Martín Prats Introducción Memoria es la parte de un sistema que almacena datos binarios en grandes cantidades. Memorias semiconductoras,

Más detalles

Sistemas Combinacionales y Sistemas Secuenciales

Sistemas Combinacionales y Sistemas Secuenciales y Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 y 1 2 3 y Contenido Al hablar de sistemas, nos referimos

Más detalles

Electrónica 1. Práctico 10 Familias Lógicas

Electrónica 1. Práctico 10 Familias Lógicas Electrónica 1 Práctico 10 Familias Lógicas Los ejercicios marcados con son opcionales. Además cada ejercicio puede tener un número, que indica el número de ejercicio del libro del curso (Microelectronic

Más detalles

CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS

CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS MAPA CONCEPTUAL DE LOS CIRCUITOS INTEGRADOS Circuitos Integrados Digitales Monolíticos (CIDM) Según la realización física Según la forma en que se realiza el

Más detalles

Introducción n al Control Industrial

Introducción n al Control Industrial Introducción n al Control Industrial Autómatas ISA-UMH 1 Introducción Índice Definición Antecedentes históricos Topología a de los sistemas de control Tipos de sistemas de control El Autómata Programable

Más detalles

Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad.

Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad. OBJETIVO GENERAL: PRACTICA No. 1: PRINCIPIOS BÁSICOS Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad. OBJETIVOS

Más detalles

16/11/2016. MEMORIAS de SEMICONDUCTORES

16/11/2016. MEMORIAS de SEMICONDUCTORES El funcionamiento de una memoria se evalúa por: i. el área del chip por bit de almacenamiento ii. el tiempo de acceso R/W iii. Durabilidad iv. Confiabilidad v. Costo MEMORIAS de SEMICONDUCTORES 1 MEMORIAS

Más detalles

El funcionamiento de una memoria se evalúa por: i. el área del chip por bit de almacenamiento ii. el tiempo de acceso R/W iii. Durabilidad iv.

El funcionamiento de una memoria se evalúa por: i. el área del chip por bit de almacenamiento ii. el tiempo de acceso R/W iii. Durabilidad iv. El funcionamiento de una memoria se evalúa por: i. el área del chip por bit de almacenamiento ii. el tiempo de acceso R/W iii. Durabilidad iv. Confiabilidad v. Costo MEMORIAS de SEMICONDUCTORES MEMORIAS

Más detalles

Sistemas Digitales I. Docentes. Mg. Ing. Cristian Sisterna. Dr. Martin Guzzo. Ing. Gabriel Cañadas

Sistemas Digitales I. Docentes. Mg. Ing. Cristian Sisterna. Dr. Martin Guzzo. Ing. Gabriel Cañadas Docentes Mg. Ing. Cristian Sisterna Dr. Martin Guzzo Ing. Gabriel Cañadas 2016 Horarios de consulta MSc. Cristian Sisterna Martes y Jueves de 10 a 12 hs Ing. Gabriel Cañadas Lunes y Miercoles 10 a 12 hs

Más detalles

Introducción a LabVIEW FPGA. Juan Gil

Introducción a LabVIEW FPGA. Juan Gil Introducción a LabVIEW FPGA Juan Gil National Instruments Tecnología FPGA Bloques de Memoria Almacene conjuntos de datos o valores en RAM definida por el usuario Bloques de Lógica Configurables (CLBs)

Más detalles

Conocer, diseñar y aplicar los circuitos digitales para el control de los diferentes sistemas mecatrónicos.

Conocer, diseñar y aplicar los circuitos digitales para el control de los diferentes sistemas mecatrónicos. Nombre de la asignatura: Electrónica Digital Créditos: 2-4-6 Aportación al perfil Conocer y analizar la diferencia entre circuitos analógicos y digitales y la relación existente entre ellos. Analizar sistemas

Más detalles

Placa de test mixta con un dispositivo lógico programable y un microcontrolador

Placa de test mixta con un dispositivo lógico programable y un microcontrolador Placa de test mixta con un dispositivo lógico programable y un microcontrolador Abdelaziz Ahrouch Arbib Alumno de Ingeniería Técnica Industrial, especialidad electrónica industrial, de l EPSEVG. Resumen

Más detalles

CAPITULO 3 IMPLEMENTACIÓN DEL INVERSOR ELEVADOR. En el presente capítulo se muestran, de manera general, la etapa de potencia y de

CAPITULO 3 IMPLEMENTACIÓN DEL INVERSOR ELEVADOR. En el presente capítulo se muestran, de manera general, la etapa de potencia y de CAPITULO 3 IMPLEMENTACIÓN DEL INVERSOR ELEVADOR MONO - ETAPA 3.1 Introducción En el presente capítulo se muestran, de manera general, la etapa de potencia y de control de conmutación implementadas. Se

Más detalles

Tecnología de Dispositivos Programables

Tecnología de Dispositivos Programables 2 UNIDAD 1 Tecnología de Dispositivos Programables 1.1 Estado del Arte en el Diseño Digital Moderno. En la década de 1970, cuando los circuitos lógicos Transistor-Transistor (TTL), se introducen al mercado,

Más detalles

Arquitectura de un Controlador Electrónico de Procesos

Arquitectura de un Controlador Electrónico de Procesos Arquitectura de un Controlador Electrónico de Procesos Unidad Central de Procesamiento (CPU) La unidad central de procesamiento es el elemento más importante de un controlador electrónico de procesos.

Más detalles

Electrónica 1. Práctico 10 Familias Lógicas

Electrónica 1. Práctico 10 Familias Lógicas Electrónica 1 Práctico 10 Familias Lógicas Los ejercicios marcados con son opcionales. Además cada ejercicio puede tener un número, que indica el número de ejercicio del libro del curso (Microelectronic

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE DISPOSITIVOS DIGITALES

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE DISPOSITIVOS DIGITALES TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE DISPOSITIVOS DIGITALES 1. Competencias Implementar sistemas de medición y control bajo los

Más detalles

Electrónica Digital. Configuración del colector abierto. Electrónica Digital II Circuitos TTL Salidas de Colector Abierto Salidas de Drenador Abierto

Electrónica Digital. Configuración del colector abierto. Electrónica Digital II Circuitos TTL Salidas de Colector Abierto Salidas de Drenador Abierto Electrónica Digital II Circuitos TTL Salidas de Colector Abierto Salidas de Drenador Abierto Salida de colector abierto (Familia TTL) La compuerta de colector abierto se usan en tres aplicaciones principales:

Más detalles

CAPITULO III CONTROLADORES

CAPITULO III CONTROLADORES CAPITULO III CONTROLADORES 3.1 Controladores El controlador es el segundo elemento en un sistema de control automático, éste toma una señal de entrada y la compara con un valor establecido para obtener

Más detalles

RECOMENDACIONES PARA LAS PRÁCTICAS

RECOMENDACIONES PARA LAS PRÁCTICAS RECOMENDACIONES PARA AS PRÁCTICAS aboratorio de Electrónica Digital. Trabajo previo a la práctica El alumno debe realizar el siguiente trabajo antes de la asistencia a las sesiones prácticas de laboratorio:

Más detalles

1. Introducción. 2. Familias Lógicas

1. Introducción. 2. Familias Lógicas 1. Introducción Por el rápido progreso de las tecnologías de los IC s digitales, la integración ha llegado a grandes escalas pasando de pequeña escala (SSI) hasta la integración de Giga Escala (GSI). La

Más detalles

Ingeniería en Mecatrónica

Ingeniería en Mecatrónica PLAN DE ESTUDIOS Ingeniería en Mecatrónica www.utsc.edu.mx INGENIERÍA EN MECATRÓNICA OBJETIVO Desarrollar integralmente personas que elaboren proyectos de automatización y control, a través del diseño,

Más detalles

CAPITULO I INTRODUCCIÓN. Diseño Digital

CAPITULO I INTRODUCCIÓN. Diseño Digital CAPITULO I INTRODUCCIÓN Diseño Digital QUE ES DISEÑO DIGITAL? UN SISTEMA DIGITAL ES UN CONJUNTO DE DISPOSITIVOS DESTINADOS A LA GENERACIÓN, TRANSMISIÓN, PROCESAMIENTO O ALMACENAMIENTO DE SEÑALES DIGITALES.

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

Universidad Autónoma de Nayarit Área Económico-Administrativa Licenciatura en Informática

Universidad Autónoma de Nayarit Área Económico-Administrativa Licenciatura en Informática Universidad Autónoma de Nayarit Área Económico-Administrativa Licenciatura en Informática 1. DATOS DE IDENTIFICACIÓN NOMBRE Y CLAVE DE LA UNIDAD DE APRENDIZAJE Arquitectura de Computadoras DOCENTE(S) RESPONSABLE(S)

Más detalles

GUÍA DOCENTE DE LA ASIGNATURA

GUÍA DOCENTE DE LA ASIGNATURA GUÍA DOCENTE DE LA ASIGNATURA M595 - Diseño y Verificación de Circuitos Integrados Máster Universitario en Ingeniería de Telecomunicación Obligatoria. Curso Curso Académico 204-205 . DATOS IDENTIFICATIVOS

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN . INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA NOMBRE DE LA ASIGNATURA: BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA PROGRAMA DE ESTUDIOS DE LA MAESTRÍA EN INGENIERÍA ELECTRÓNICA CON OPCIÓN EN INSTRUMENTACIÓN DIGITAL

Más detalles

Programación Automática de FPGAs desde lenguajes de alto nivel para aplicaciones multimedias

Programación Automática de FPGAs desde lenguajes de alto nivel para aplicaciones multimedias Programación Automática de FPGAs desde lenguajes de alto nivel para aplicaciones multimedias Depto. de Ingeniería y Ciencia de los Computadores. Grupo de Arquitectura Avanzada de Computadores y Computación

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Dispositivos de lógica programable

Dispositivos de lógica programable Dispositivos de lógica programable SISEMAS ELECRÓNICOS DIGIALES 2 o Curso Ingeniería écnica Industrial Especialidad en Electrónica Industrial Dr. José Luis Rosselló Índice Conceptos generales Dispositivos

Más detalles

8-Síntesis Multinivel

8-Síntesis Multinivel 8-Síntesis Multinivel 8.1 Lógica de dos niveles con NOR y NN 8.2 Lógica multinivel 8.3 ompuertas N, OR, INVERT (OI) 8.4 Introducción a síntesis multinivel 8: Multinivel 1 Lógica de dos niveles con NOR

Más detalles

Distinguir correctamente las ventajas y desventajas de la lógica cableada y de la lógica programada con PLC.

Distinguir correctamente las ventajas y desventajas de la lógica cableada y de la lógica programada con PLC. Programas de Actividades Curriculares Plan 94A Carrera: Ingeniería Mecánica AUTOMATIZACIÓN INDUSTRIAL Área: Bloque: Eléctrica Tecnologías Básicas Nivel: 4º año Tipo: Electiva Modalidad: Anual Carga Horaria

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

UNIVERSIDAD DE GUADALAJARA

UNIVERSIDAD DE GUADALAJARA UNIVERSIDAD DE GUADALAJARA CENTRO UNIVERSITARIO DE LOS ALTOS DIVISIÓN DE ESTUDIOS EN FORMACIONES SOCIALES LICENCIATURA: INGENIERÍA EN COMPUTACIÓN UNIDAD DE APRENDIZAJE POR OBJETIVOS LABORATORIO DE SISTEMAS

Más detalles

TEMA 9. MEMORIAS SEMICONDUCTORAS

TEMA 9. MEMORIAS SEMICONDUCTORAS TEMA 9. MEMORIAS SEMICONDUCTORAS http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 125 Aniversary: http://www.flickr.com/photos/ieee125/with/2809342254/ 1 - Introducción:

Más detalles