Entorno Didáctico para Sistemas Digitales de Instrumentación y Control

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Entorno Didáctico para Sistemas Digitales de Instrumentación y Control"

Transcripción

1 Entorno Didáctico para Sistemas Digitales de Instrumentación y Control Rafael Ramos-Lara 1, Mariano López-García 1, Enrique Cantó-Navarro 2 1 Universidad Politécnica de Catalunya, Victor Balaguer s/n, 000 Vilanova i Geltrú 2 Universidad Rovira i Virgili, Avda. Paisos Catalans, 26, 43007, Tarragona {lara, lopezg}@eel.upc.edu, ecanto@etse.urv.es Resumen. En este trabajo se presenta la experiencia didáctica desarrollada por los profesores de la Universidad Politécnica de Cataluña y la Universidad Rovira i Virgili con relación a los dispositivos FPGA (Field Programmable Gate Array) y su actual aplicación tecnológica. En este sentido se expone un conjunto de experiencias prácticas que permiten al estudiante profundizar en el diseño del sistemas de instrumentación y control basados en dispositivos FPGA s. Las experiencias presentadas forman parte del contenido de la asignatura Sistemas Digitales de Instrumentación y Control que se oferta actualmente como asignatura optativa en la Escuela Politécnica Superior de Ingeniería de Vilanova y la Geltrú (UPC). 1 Introducción Los avances en la fabricación de componentes electrónicos digitales y las tecnologías de integración de estos, han potenciado e incrementado el uso de dispositivos lógicos programables en los diseños que requieren cierta complejidad y que realizados con componentes discretos obligaría a emplear un número elevado de circuitos integrados. Esta tendencia viene apoyada por el fuerte desarrollo de las tecnologías relacionadas con este tipo de dispositivos, tanto en lo que se refiere a la tecnología de fabricación como respecto a los programas de desarrollo y configuración de los mismos. Actualmente los dispositivos programables no solo constituyen una solución para aplicaciones de complejidad media/baja, sino que también reúnen las prestaciones suficientes para implementar diseños de muy alto nivel de complejidad hasta ahora reservados a microprocesadores de altas prestaciones o DSPs [1], [2]. Basta indicar, a modo de ejemplo, que la capacidad de la FPGA XC4VFX140 de Xilinx [3] contiene bloques lógicos configurables, kbits de memoria RAM distribuida en 552 bloques, 192 bloques Xtreme DSP que contienen cada uno de ellos un multiplicador de 1x1 bits, un acumulador y un sumador; 2 procesadores RISC IBM PowerPC 405, 20 Digital Clock Manager (DCM), 1 convertidor analógico-digital de 20 bits y 200kSPS, 4 módulos Ethernet Media Access Controller (MAC), 24 full-duplex serial transceivers capaces de alcanzar una velocidad de transmisión de hasta 11.1Gb/s y 96 pines de entrada/salida disponibles para el usuario. 257

2 Desde este punto de vista y previendo la importancia de esta materia en un futuro inmediato se presentan en este artículo un conjunto de experiencias didácticas de bajo coste que permiten al estudiante abordar el diseño, simulación e implementación práctica de sistemas basados en dispositivos FPGA s para aplicaciones de instrumentación y control. Cabe destacar que estas experiencias forman parte de los contenidos de la asignatura Sistemas Digitales de Instrumentación y Control (SDIC), que se oferta actualmente en la Universidad Politécnica de Cataluña, como asignatura optativa en la titulación de Ingeniería en Automática y Electrónica Industrial. En el apartado 2 se resumen las herramientas de trabajo utilizadas para llevar a cabo las experiencias propuestas. En los apartados 3, 4, 5, 6 y 7 se describen brevemente el conjunto de experiencias propuestas. Finalmente, en el último apartado se resumen las conclusiones del presente trabajo. 2 Herramientas de trabajo El software utilizado para desarrollar las experiencias didácticas es el ISE Foundation de Xilinx. Este programa es un potente entorno de trabajo que incorpora un completo conjunto de herramientas que permiten realizar de forma sencilla todos los pasos involucrados en el proceso de diseño y realización de un sistema digital con los dispositivos programables de Xilinx. Para ello dispone de diversas herramientas que permiten definir el diseño: el editor de esquemáticos, el editor de lenguajes de alto nivel (ABEL, VHDL, Verilog), el editor de diagramas de estados StateCAD, el generador de componentes CORE Generator, etc. También incorpora un simulador que permite realizar simulaciones funcionales, donde se evalúa únicamente las funciones lógicas asociadas al diseño, y simulaciones temporales donde se tiene en cuenta además los retardos derivados del rutado resultante de compilar el diseño. Además del software ISE Foundation, en las experiencias propuestas intervienen una serie de placas de evaluación de bajo coste que se utilizan para desarrollar los diseños propuestos. En total se dispone de cuatro placas, las dos primeras son placas comerciales [4] y las dos últimas han sido diseñadas por los autores del presente trabajo: 1. Placa Digilab IIE: incorpora una FPGA Spartan2E de puertas lógicas equivalentes, así como cuatro puertos de expansión, un puerto de comunicación serie RS-232, un puerto paralelo, un reloj de 50MHz y un zócalo para colocar la memoria que contiene la configuración de la FPGA. 2. Placa DIO1: esta placa de expansión dispone de un display de 4 dígitos de 7 segmentos, cuatro botones, diodos luminosos, interruptores, un puerto VGA y un puerto PS2. 3. Placa de convertidores DAC y ADC: esta placa incorpora un convertidor ADC MAX1246 de cuatro canales analógicos de entrada con una frecuencia máxima de muestreo de 133kS/s y compatible con el bus SPI/QSPI, Microwire y DSP s TMS320. También incorpora un convertidor DAC MAX5253 de 4 canales de salida con bits 12 de resolución y compatible con el bus SPI/QSPI y Microwire. La placa dispone de dos sensores de temperatura: un sensor analógico AD22103, con salida por tensión y rango de funcionamiento entre 0ºC y 100ºC, y un sensor digital DS1S20/DS122 con interface 1-Wire, 9/12 bits de precisión y un rango de medida de -55ºC a 125ºC (ver figura 1a). 4. Placa de drivers: esta placa dispone de un integrado L293E con cuatro canales Push- Pull, adecuados para controlar el funcionamiento de motores DC, y de un sistema de 25

3 acondicionamiento de un fotointerruptor utilizado en la medida de la velocidad de giro de un motor de corriente continua (ver figura 1b). (a) Fig. 1. (a) Placa de convertidores y (b) placa de driver. (b) 3 Experiencia primera: Introducción al diseño digital basado en dispositivos FPGA Esta primera experiencia pretende iniciar al estudiante en el conocimiento del entorno de desarrollo Digilab IIE, que contiene la FPGA con la que se realizan los diseños, y la placa de expansión DIO1. A través de un ejemplo de diseño, consistente en un conversor binario-bcd con visualización en un display de 7 segmentos, el estudiante va adquiriendo habilidad de diseño con las diferentes herramientas que incorpora el software ISE Foundation: el editor de diseños esquemáticos Schematic Editor (ECS), el editor de sistemas secuenciales StateCAD, el generador de bloques lógicos CORE Generator, etc. El objetivo final de esta experiencia es que el estudiante se familiarice con el entorno de trabajo, tanto a nivel de software como a nivel de hardware. 4 Experiencia segunda: Voltímetro digital con el ADC MAX1246 y el µp PicoBlaze Esta experiencia tiene como objetivo introducir al estudiante en el uso de microprocesadores software como parte integrante de un diseño FPGA. El estudiante puede comprobar, mediante este ejercicio de diseño práctico, las ventajas de utilizar un sistema microprocesador en una aplicación basada en FPGA. El estudiante deberá ser capaz de modificar la estructura original del microprocesador para adaptarla a las necesidades de la aplicación. En una primera parte de esta experiencia docente se realiza una descripción del µp PicoBlaze [5] de bits diseñado en VHDL para FPGA s de Xilinx. Se comenta la arquitectura de este microprocesador así como el conjunto de instrucciones que ejecuta. Para facilitar la comprensión de este dispositivo se presentan dos sencillas aplicaciones basadas en el µp 259

4 PicoBlaze: un sumador de dos números de 4 bits y el control de un display de cuatro dígitos de 7 segmentos. En la segunda parte de esta experiencia didáctica el alumno debe desarrollar una aplicación de instrumentación consistente en un voltímetro digital basado en el convertidor de 12 bits MAX1246 y controlado por el µp PicoBlaze. El voltímetro debe ser capaz de medir tensiones de entrada para un rango de valores comprendido entre 0 y 2.5V con 3 decimales de precisión y visualizar el resultado en el display de 4 dígitos de 7 segmentos. También debe ser capaz de enviar el resultado en código ASCII a un PC a través del puerto de comunicación serie RS232 con un velocidad de transmisión de baudios (ver figura 2). 3.3k 10k 3.3V Vi CH0 COM 0V Vi 2.5V MAX 1246 SCLK CS DIN SSTRB DOUT /SHDN FPGA XC2S200/2E D P111 P113 P115 P120 P122 P125 D/2E P109 P111 P113 P115 P120 P122 Byte de control SW1...SW RS232 Full duplex LED s LD1...LD Fig. 2. Esquema del voltímetro digital basado en el convertidor MAX1246. En esta práctica el µp PicoBlaze controla el funcionamiento del convertidor ADC MAX1246, a través de protocolo de comunicación serie SPI, y procesa el resultado de la conversión para obtener el valor de la tensión de entrada, con tres decimales de precisión, en código BCD y ASCII. El resultado en código BCD se visualiza en el display de 4 dígitos y el resultado en código ASCII se envía al PC a través del puerto serie que incorpora la placa DIGILAB IIE y se visualiza en el PC mediante el Hiperterminal. El estudiante debe diseñar un programa que controle el convertidor ADC y procese adecuadamente el resultado para extraer la información de la tensión, realizando rutinas básicas como la de multiplicación de 16 por 16 bits, conversión binario-bcd, conversión binario ASCII, etc. Igualmente el estudiante debe modificar la estructura original del µp Pico- Blaze para adaptarlo a las necesidades de la aplicación. Para ello, deberá incorporar un número adecuado de puertos de entrada y salida, así como variar el proceso que controla el puerto de comunicación serie para configurar la velocidad de transmisión a baudios. 260

5 5 Experiencia tercera: Medida de temperatura con el sensor AD22103 En esta experiencia el estudiante desarrolla un sistema de monitorización de la temperatura basado en el sensor analógico de temperatura AD22103 de Analog Devices cuya tensión de salida sigue la siguiente expresión: Vs Vo 0.25V 2mV º C 3.3V El convertidor ADC MAX1246 digitaliza la tensión (1) proporcionando un resultado de 12 bits que debe ser procesado convenientemente para calcular la temperatura con un decimal de precisión. Posteriormente el valor de la temperatura en código ASCII se envía al PC a través del puerto serie. El procesado a realizar consiste básicamente en eliminar la componente continua de la expresión (1), multiplicar el resultado por el factor de conversión adecuado para obtener una sensibilidad de 10 unidades/ºc y realizar la conversión binario-bcd. Todas estas funciones quedan englobadas en un bloque denominado acondicionador digital de señal que debe diseñar el estudiante. Para ello, en primer lugar evaluará dos posibles soluciones de realización: una solución software consistente en una rutina que lleva a cabo todas las funciones descritas y una solución hardware basada en diseñar un bloque específico que contenga los elementos necesarios (restador, multiplicador, conversor binario-bcd) para realizar el procesado y que actuará como periférico del µp PicoBlaze. Como resultado de la evaluación el estudiante debe comprobar que la solución hardware tiene como inconveniente que consume mas recursos de la FPGA, pero presenta la importante ventaja de que es capaz de realizar el cálculo en pocos ciclos de reloj, mientras que con la solución software se invierten decenas de ciclos de reloj en obtener el resultado. Puertos de salida PicoBlaze Puertos de entrada ADC 7-0 ADC 11- Decena Unidad 1 er Decimal T A Acondicionador digital de señal - x Binario-BCD Fig. 3. Esquema del acondicionador digital de señal como periférico del µp PicoBlaze. El principal objetivo de esta práctica es conseguir que el estudiante adquiera las habilidades necesarias para realizar aplicaciones que combinen adecuadamente microprocesadores con periféricos diseñados a medida de forma que se optimice el diseño en relación a los recursos utilizados y la velocidad de ejecución. (1) 261

6 6 Experiencia cuarta: Conversor RMS síncrono El estudiante debe realizar en este caso el diseño e implementación de un sistema que calcula el valor eficaz (RMS) de una señal periódica v(t) definido como: V RMS 1 2 T v t dt donde T es el periodo de la señal v(t). En la figura 4 se muestra el diagrama de bloques de una implementación analógica de la expresión (2) que contiene un elevador al cuadrado para calcular v(t) 2, un filtro paso bajo de primer orden que permite obtener el valor medio y un extractor de raíz cuadrada en cuya salida se obtiene el valor eficaz de la señal. El estudiante debe realizar un diseño que reproduzca digitalmente la función realizada por cada uno de estos tres bloques. El diseño debe controlar además el convertidor ADC MAX1246 que digitaliza la señal de entrada v(t) con una precisión de 12 bits y el convertidor DAC MAX5253 que proporciona en su salida el valor eficaz de la señal v(t) de entrada. Para aumentar la velocidad de proceso se realiza un diseño hardware de los tres bloques que componen el extractor RMS utilizando el µp PicoBlaze únicamente para controlar los convertidores, el puerto serie y coordinar el funcionamiento de los tres bloques que forman el extractor RMS (ver figura 5). (2) v(t) X Y Cuadrado v(t) 2 R XY C 1 2 v t dt T _ Valor medio Raíz cuadrada V eff Fig. 4. Esquema funcional de los bloques de un conversor RMS. FPGA XC2S200 v(t) ADC 12 12b 11b signo CONVERSOR RMS v(n) 2 22 Valor medio Raíz cuadrada DAC 12b V eff PicoBlaze RS-232 Fig. 5. Diseño FPGA del conversor RMS. 262

7 Una parte importante de esta práctica es el dimensionado adecuado de los tres bloques hardware a diseñar en relación al número de bits de precisión utilizados para calcular cada una de las funciones asociadas a la obtención del valor eficaz. El objetivo del dimensionado es obtener una buena relación entre el error de cálculo cometido con respecto al valor eficaz ideal y la cantidad de recursos de la FPGA utilizados en la implementación del diseño. Lógicamente, mientras mayor sea el número de bits de precisión menor será el error cometido pero mayor será el porcentaje de recursos utilizados. Para realizar el dimensionado el estudiante dispone de un modelo Simulink (MatLab) que reproduce el comportamiento del diseño FPGA y de un conversor RMS ideal (ver figura 6). Este modelo proporciona, además, información sobre el error relativo y absoluto entre el valor RMS obtenido con el diseño FPGA y el valor obtenido con el conversor RMS ideal. El alumno puede ajustar los parámetros (bits de precisión) del diseño FPGA del modelo Simulink y determinar cuales son los valores más adecuados para optimizar el diseño en referencia al error y al número de puertas lógicas equivalentes necesarias para implementarlo. DISEÑO FPGA RMS IDEAL CALCULO DE ERROR Fig. 6. Modelo Simulink del diseño FPGA y de un convertidor RMS ideal. Con esta práctica se pretende que el alumno adquiera habilidades como el conocimiento de estrategias de implementación de bloques de procesado digital de señal, que sea capaz de diseñar y dimensionar adecuadamente los componentes y bloques necesarios para realizar operaciones de procesado de señal y que conozca métodos de simulación que permitan validar y optimizar las prestaciones del diseño en relación al error cometido y recursos utilizados. 7 Experiencia quinta: Control de un motor DC El control de velocidad de un motor de corriente continua constituye un ejemplo clásico dentro del ámbito de aplicación de la regulación automática. En esta experiencia el estudiante realiza el diseño de un control de un motor de corriente continua utilizando la placa de expansión que incorpora el driver de cuatro canales L293E (ver figura 7). En primer lugar el estudiante realiza el diseño del control en lazo abierto compuesto por un modulador de anchura de pulso (PWM) de bits de precisión. El estudiante puede comprobar 263

8 como variando el valor del ciclo de trabajo se modifica la tensión de alimentación del motor y con ello la velocidad y el sentido de giro del mismo. En la siguiente fase de la práctica el estudiante diseña un sistema de monitorización de la velocidad de giro del motor basado en un fotointerruptor. El valor de la velocidad se visualiza, en revoluciones por segundo, en el display de 4 dígitos de la placa DIO1. Placa de drivers FPGA L293E Acondicionador Fig. 7. Esquema del sistema de control de velocidad de un motor de corriente continua. En la última parte de esta experiencia didáctica el estudiante incorpora al diseño un control PID para regular la velocidad de giro. En la figura se muestra el diagrama de bloques y la función de transferencia del control PID implementado mediante una aproximación rectangular y utilizando el algoritmo de velocidad [6], donde e(n) es la señal de error definida como la diferencia entre la velocidad real y la deseada. e(n) E(z) K P D I (z) D D (z) u(n) U(z) u n u n 2 K e n K e n 1 K e n 2 K K K 1 2 p K K 3 i d K d K T 2K d T K T K T p T 1 i 2 3 Fig.. (a) Diagrama de bloques del control PID y (b) función de transferencia. El estudiante debe realizar la implementación del control PID siguiendo la estructura mostrada en la figura 9. z -1 e(n-1) e(n) z -1 e(n-2) K 1 X K 2 X K 3 X u(n-2) z -1 z -1 u(n) Fig. 9. Esquema de la implementación digital del control PID. 264

9 Modificando adecuadamente los parámetros del control PID, el estudiante puede observar la respuesta del sistema en régimen permanente y su comportamiento frente a saltos de la consigna de velocidad. También puede comparar los resultados obtenidos en la práctica con los resultados obtenidos mediante simulación. Conclusiones En esta comunicación se ha presentado un conjunto de experiencias didácticas para el diseño de sistemas de instrumentación y control basado en dispositivos FPGA de Xilinx, utilizando para ello el software ISE Foundation de Xilinx y placas de evaluación de bajo coste que permiten realizar las experiencias propuestas. Estas experiencias docentes permiten al estudiante adquirir gradualmente un conjunto de habilidades y capacidades necesarias para realizar diseños de complejidad media-alta basados en FPGA, con especial incidencia en el diseño de aplicaciones de instrumentación y control. Entre estas capacidades cabe destacar: Utilización combinada de las herramientas de diseño disponibles en el software ISE Foundation de Xilinx. Capacidad de integrar en un diseño microprocesadores software modificando adecuadamente su estructura para adaptarla a las necesidades de la aplicación. Capacidad de determinar que parte del diseño se resuelve con sistemas microprocesadores y que parte se implementa mediante periféricos diseñados a medida de forme que se optime el tiempo de ejecución y los recursos disponibles. Capacidad de dimensionado óptimo de los bloques de procesado digital en base al análisis de los resultados de error obtenidos mediante simulación. Capacidad de implementar arquitecturas básicas de controladores digitales. Referencias 1. Jenkins, Jesse H.: Designing with FPGAs and CPLDs. Prentince Hall, New Jersey Salcic Z., Smailagic A.: Digital Systems Design and Prototyping Using Field Programmable Logic. Kluwer Academic Publishers Xilinx Company Inc.: Virtex-4 Family Overview Chapman K.: PicoBlaze -Bit Microcontroller for Virtex-E and Spartan-II/IIE Devices. Xilinx Application Note XAPP213, Ahmed I.: Implementation of PID and Deadbeat Controllers with the TMS320 Family. Application Report SPRA03, Texas Instruments,

DESCRIPCION DEL SITEMA MASTER.

DESCRIPCION DEL SITEMA MASTER. DESCRIPCION DEL SITEMA MASTER. ESTRUCTURA. El sistema MASTER (Sistema Modular para Control Adaptativo en Tiempo Real) se ha implementado en base a un computador compatible PC-AT, dotado de una tarjeta

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

Gestión digital sencilla de controladores de fuentes de alimentación analógicas

Gestión digital sencilla de controladores de fuentes de alimentación analógicas COMENTARIO TECNICO Gestión digital sencilla de controladores de fuentes de alimentación analógicas Por Josh Mandelcorn, miembro del equipo técnico de Texas Instruments Normalmente, el control digital de

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha Sistemas Electrónicos igitales Práctica de 8 bits secuencial con desplazamiento hacia la derecha Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos Universidad Politécnica de

Más detalles

CAPÍTULO 1 Instrumentación Virtual

CAPÍTULO 1 Instrumentación Virtual CAPÍTULO 1 Instrumentación Virtual 1.1 Qué es Instrumentación Virtual? En las últimas décadas se han incrementado de manera considerable las aplicaciones que corren a través de redes debido al surgimiento

Más detalles

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL Sistemas Electrónicos Digitales Avanzados GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL PRÁCTICA FINAL GENERADOR AVANZADO DE FORMAS DE ONDA Dpto. Electrónica Curso 2013/2014 1. Introducción La

Más detalles

CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL

CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL CONVERTIDORES DIGITAL ANALÓGICO Las dos operaciones E/S relativas al proceso de mayor importancia son la conversión de digital a analógico D/A y la

Más detalles

Placa de control MCC03

Placa de control MCC03 Placa de control MCC03 Placa de control MCC03 La placa de control basada en el micro controlador PIC 16F874A de Microchip, es la encargada del procesar los datos que se introducen en el sistema y actuar

Más detalles

Regulador PID con convertidores de frecuencia DF5, DV5, DF6, DV6. Página 1 de 10 A Regulador PID

Regulador PID con convertidores de frecuencia DF5, DV5, DF6, DV6. Página 1 de 10 A Regulador PID A Página 1 de 10 A Regulador PID INDICE 1. Regulador PID 3 2. Componente proporcional : P 4 3. Componente integral : I 4 4. Componente derivativa : D 4 5. Control PID 4 6. Configuración de parámetros del

Más detalles

GUÍA DOCENTE. Sistemas Integrados

GUÍA DOCENTE. Sistemas Integrados GUÍA DOCENTE Sistemas Integrados I.- DATOS INICIALES DE IDENTIFICACIÓN Nombre de la asignatura: Sistemas Integrados Número de créditos ECTS: 4 Ubicación temporal: º Semestre Materia: Sistemas Digitales

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC.

DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC. TESIS DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC. DIRECTOR DE TESIS.- Ing. Francisco Novillo AUTOR Walter Mestanza Vera. Egresado

Más detalles

PROYECTO CURRICULAR. Electrónica Digital y Microprogramable

PROYECTO CURRICULAR. Electrónica Digital y Microprogramable PROYECTO CURRICULAR Electrónica Digital y Microprogramable Ciclo Formativo Grado Medio Equipos Electrónicos de Consumo CAPACIDADES TERMINALES 1 Analizar funcionalmente circuitos electrónicos digitales,

Más detalles

Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática. 6.002 Circuitos electrónicos Otoño 2000

Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática. 6.002 Circuitos electrónicos Otoño 2000 Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática 6.002 Circuitos electrónicos Otoño 2000 Tarea para casa 11 Boletín F00-057 Fecha de entrega: 6/12/00 Introducción

Más detalles

Comparadores UNIDAD V

Comparadores UNIDAD V Comparadores UNIDAD V Tecsup Virtu@l Automatización Lógica Programable Índice MÓDULO 2: PROGRAMACIÓN AVANZADA Unidad V: COMPARADORES 1. Comparadores... 1 1.1 Introducción... 1 1.2 Objetivos... 1 1.3 Contenido...

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

Desarrollo de una interfaz RS-232 para el manejo de un coche de radiocontrol desde el PC

Desarrollo de una interfaz RS-232 para el manejo de un coche de radiocontrol desde el PC Desarrollo de una interfaz RS-232 para el manejo de un coche de radiocontrol desde el PC A. Muñoz, A. Millan, P. Ruiz-de-Clavijo, J. Viejo, E. Ostua, D. Guerrero Grupo ID2 (Investigación y Desarrollo Digital).

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

TEMA 4. Unidades Funcionales del Computador

TEMA 4. Unidades Funcionales del Computador TEMA 4 Unidades Funcionales del Computador Álvarez, S., Bravo, S., Departamento de Informática y automática Universidad de Salamanca Introducción El elemento físico, electrónico o hardware de un sistema

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Tema 11: Instrumentación virtual

Tema 11: Instrumentación virtual Tema 11: Instrumentación virtual Solicitado: Tarea 09: Mapa conceptual: Instrumentación Virtual M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom

Más detalles

MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE

MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE Sadot Alexandres F.¹, José D. Muñoz F.², Pedro Pérez de A.³ Departamento de Electrónica y Automática. Escuela Técnica Superior de Ingeniería

Más detalles

1.- DATOS DE LA ASIGNATURA. Diseño Digital Avanzado con FPGAs. Nombre de la asignatura: Carrera: Ingeniería Electrónica. Clave de la asignatura:

1.- DATOS DE LA ASIGNATURA. Diseño Digital Avanzado con FPGAs. Nombre de la asignatura: Carrera: Ingeniería Electrónica. Clave de la asignatura: 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: (Créditos) SATCA 1 Diseño Digital Avanzado con FPGAs Ingeniería Electrónica SDJ 1203 4 2 6 2.- PRESENTACIÓN Caracterización

Más detalles

CONTROL DE VELOCIDAD PARA UN MOTOR SRM UTILIZANDO SISTEMAS DE SIMULACIÓN INTERACTIVA Y PROTOTIPADO RÁPIDO

CONTROL DE VELOCIDAD PARA UN MOTOR SRM UTILIZANDO SISTEMAS DE SIMULACIÓN INTERACTIVA Y PROTOTIPADO RÁPIDO CONTROL DE VELOCIDAD PARA UN MOTOR SRM UTILIZANDO SISTEMAS DE SIMULACIÓN INTERACTIVA Y PROTOTIPADO RÁPIDO Juan Antonio Espinar Romero Ingeniería técnica industrial especialidad en electricidad EPSEVG,

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7 UNIVERSIDAD NACIONAL DE LA MATANZA DEPARTAMENTO DE INGENIERIA E INVESTIGACIONES TECNOLOGICAS INGENIERIA EN INFORMATICA ARQUITECTURA DE COMPUTADORAS (1109) Profesor Titular: Ing. Fernando I. Szklanny PLANIFICACIÓN

Más detalles

Práctica 4 Diseño de circuitos con puertas lógicas.

Práctica 4 Diseño de circuitos con puertas lógicas. Práctica 4 Diseño de circuitos con puertas lógicas. Descripción de la práctica: -Esta práctica servirá para afianzar los conocimientos adquiridos hasta ahora de simplificación, e implementación de funciones,

Más detalles

Proyecto final "Sistema de instrumentación virtual"

Proyecto final Sistema de instrumentación virtual "Sistema de instrumentación virtual" M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com @efranco_escom edfrancom@ipn.mx 1 Contenido Introducción Objetivos Actividades Observaciones Reporte

Más detalles

TRANSMISION DIGITAL. PCM, Modulación por Codificación de Pulsos

TRANSMISION DIGITAL. PCM, Modulación por Codificación de Pulsos MODULACIÓN TRANSMISION DIGITAL La amplia naturaleza de las señales analógicas es evidente, cualquier forma de onda está disponible con toda seguridad en el ámbito analógico, nos encontramos con una onda

Más detalles

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Informe final Proyecto de Innovación Docente ID11/129 Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Participantes: Beatriz García Vasallo Raúl Rengel Estévez Miguel Ángel

Más detalles

Nociones básicas sobre adquisición de señales

Nociones básicas sobre adquisición de señales Electrónica ENTREGA 1 Nociones básicas sobre adquisición de señales Elaborado por Juan Antonio Rubia Mena Introducción Con este documento pretendemos dar unas nociones básicas sobre las técnicas de medida

Más detalles

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas AUTOMATIZACION GUIA DE TRABAJO 2 DOCENTE: VICTOR HUGO BERNAL UNIDAD No. 3 OBJETIVO GENERAL Realizar una introducción a los controladores lógicos programables OBJETIVOS ESPECIFICOS: Reconocer la arquitectura

Más detalles

SDIC-U2P10 - Sistemas Digitales de Instrumentación y Control

SDIC-U2P10 - Sistemas Digitales de Instrumentación y Control Unidad responsable: Unidad que imparte: Curso: Titulación: Créditos: 2012 710 - EEL - Departamento de Ingeniería Electrónica INGENIERÍA EN AUTOMÁTICA Y ELECTRÓNICA INDUSTRIAL (Plan 2003). (Unidad docente

Más detalles

Resumen. 1. Introducción. 2. Objetivos

Resumen. 1. Introducción. 2. Objetivos Propuesta para la Asignatura Sistemas Industriales en las Titulaciones de Informática F.A. Pujol, F.J. Ferrández, J.L. Sánchez, J. M. García Chamizo Dept. de Tecnología Informática y Computación Universidad

Más detalles

La Instrumentación Tradicional:

La Instrumentación Tradicional: Prof. Ing. Juan Suárez JTP. Ing. Guillermo Murcia ATP. Ing. Jorge Strack jsuarez@fi.mdp.edu.ar gjmurcia@fi.mdp.edu.ar jlstrack@fi.mdp.edu.ar La Instrumentación Tradicional: Cuando se habla de instrumentos

Más detalles

Motores de Corriente Continua...3 Motores Paso a Paso...7 Bibliografía...9

Motores de Corriente Continua...3 Motores Paso a Paso...7 Bibliografía...9 Por Guillermo Martín Díaz Alumno de: 1º Ingeniería Informática Curso 2005/2006 ËQGLFH Motores de Corriente Continua...3 Motores Paso a Paso...7 Bibliografía...9 2 0RWRUHVGH&RUULHQWHFRQWLQXD Son los mas

Más detalles

I.P.E.T. Nº49 -"Domingo Faustino Sarmiento"-Villa Maria - Córdoba Electrónica Digital II 5to Año Electrónica Año 2013

I.P.E.T. Nº49 -Domingo Faustino Sarmiento-Villa Maria - Córdoba Electrónica Digital II 5to Año Electrónica Año 2013 PLANIFICACIÓN DE: ELECTRÓNICA DIGITAL II CURSO: QUINTO AÑO - ELECTRÓNICA AÑO LECTIVO: 2013 HORAS SEMANALES: 4 (CUATRO) PROFESOR: INGENIERO JOSÉ MARIA GUTIÉRREZ OBJETIVOS GENERALES Reconocer y manejar los

Más detalles

PRÁCTICA II: ADQUISICIÓN DE DATOS CON LABVIEW

PRÁCTICA II: ADQUISICIÓN DE DATOS CON LABVIEW SISTEMAS ELECTRÓNICOS Y DE CONTROL LABORATORIO SISTEMAS ELECTRÓNICOS DE CONTROL PRÁCTICA II: ADQUISICIÓN DE DATOS CON LABVIEW Curso 05/06 - 2 - PRÁCTICA II ADQUISICIÓN DE DATOS CON LABVIEW II.1. INTRODUCCIÓN

Más detalles

UN ENTORNO A MEDIDA PARA EL DISEÑO Y LA SIMULACIÓN DE MAQUINARIA POR COMPUTADOR

UN ENTORNO A MEDIDA PARA EL DISEÑO Y LA SIMULACIÓN DE MAQUINARIA POR COMPUTADOR UN ENTORNO A MEDIDA PARA EL DISEÑO Y LA SIMULACIÓN DE MAQUINARIA POR COMPUTADOR Manuel González y Javier Cuadrado Departamento de Ingeniería Industrial II, Campus de Esteiro, 15403 Ferrol Universidad de

Más detalles

Desarrollo de una plataforma de enseñanza de laboratorio para educación a distancia.

Desarrollo de una plataforma de enseñanza de laboratorio para educación a distancia. UNIVERSIDAD NACIONAL EXPERIMENTAL POLITECNICA ANTONIO JOSE DE SUCRE VICERRECTORADO PUERTO ORDAZ DEPARTAMENTO DE INGENIERIA ELECTRONICA TRABAJO DE GRADO Desarrollo de una plataforma de enseñanza de laboratorio

Más detalles

INGENIERÍA EN MECATRÓNICA

INGENIERÍA EN MECATRÓNICA HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Control lógico avanzado 2. Competencias Desarrollar proyectos de automatización y control, a través del diseño, la administración

Más detalles

INGENIERÍA AMBIENTAL Tema 3. Parte V SCADA (Supervisory Control and Data Acquisition) Alfredo Rosado Máster Universitario

INGENIERÍA AMBIENTAL Tema 3. Parte V SCADA (Supervisory Control and Data Acquisition) Alfredo Rosado Máster Universitario INGENIERÍA AMBIENTAL Tema 3. Parte V SCADA (Supervisory Control and Data Acquisition) Alfredo Rosado Máster Universitario INDICE Control Mediante PC. Introducción SCADA. Funciones y Prestaciones. Módulos.

Más detalles

Electrónica Digital. Tema 9. Conversión A/D-D/A. Norberto Malpica Susana Borromeo López Joaquín Vaquero López. Universidad Rey Juan Carlos

Electrónica Digital. Tema 9. Conversión A/D-D/A. Norberto Malpica Susana Borromeo López Joaquín Vaquero López. Universidad Rey Juan Carlos Universidad Rey Juan Carlos Electrónica Digital Tema 9. Conversión A/D-D/A Norberto Malpica Susana Borromeo López Joaquín Vaquero López 1 Contenido 1. Introducción 2. Conversión A/D 3. Conversión D/A 2

Más detalles

Redes neuronales de picos en FPGAs: Diseño de una neurona con recursos mínimos

Redes neuronales de picos en FPGAs: Diseño de una neurona con recursos mínimos Redes neuronales de picos en FPGAs: Diseño de una neurona con recursos mínimos Dr. Julio César Martínez Romo Instituto Tecnológico de Aguascalientes Ingeniería Eléctrica y Electrónica E-mail: jucemaro@yahoo.com

Más detalles

Controladores digitales con protección excepcional

Controladores digitales con protección excepcional Controladores digitales con protección excepcional Controladores de puerta digitales para módulos IGBT de alta potencia hasta 6500 V Los controladores digitales inteligentes IPS reducen las pérdidas de

Más detalles

CATEDRA de PROYECTO FINAL

CATEDRA de PROYECTO FINAL UNIVERSIDAD TECNOLOGICA NACIONAL FACULTAD REGIONAL AVELLANEDA CATEDRA de PROYECTO FINAL TITULO DEL PROYECTO: CONTROL DE CAMARAS FRIGORIFICAS TITULO DEL INFORME: MANUAL TÉCNICO PROFESOR(ES): ING. LOPEZ

Más detalles

18. Camino de datos y unidad de control

18. Camino de datos y unidad de control Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 18. Camino de datos y unidad de control Un La versatilidad una característica deseable los Los

Más detalles

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica)

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica) Folleto de presentación Simulín Simulín Simulador de circuitos digitales para uso docente v5.60 (Julio 2014) Características Circuitos Combinacionales Puertas lógicas básicas (NOT, AND, OR, XOR, NAND,

Más detalles

innovación educativa SAD kaptoris

innovación educativa SAD kaptoris innovación educativa SAD kaptoris Alecop, nació con la visión de relacionar dos mundos complementarios: el estudio y el trabajo. Desde sus comienzos hemos desarrollado estudios teóricos aplicados y experiencias

Más detalles

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ ELECTRÓNICA DIGITAL DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ IES TRINIDAD ARROYO DPTO. DE ELECTRÓNICA ÍNDICE ÍNDICE... 1 1. LIMITACIONES DE LOS CONTADORES ASÍNCRONOS... 2 2. CONTADORES SÍNCRONOS...

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

Sistemas de Computadoras Índice

Sistemas de Computadoras Índice Sistemas de Computadoras Índice Concepto de Computadora Estructura de la Computadora Funcionamiento de la Computadora Historia de las Computadoras Montando una Computadora Computadora Un sistema de cómputo

Más detalles

I NTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA

I NTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA I. INTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA 1.1. Informática Informática (Información Automática) es la ciencia y la técnica del tratamiento automatizado de la información mediante el uso de ordenadores.

Más detalles

UNIDADES DE ALMACENAMIENTO DE DATOS

UNIDADES DE ALMACENAMIENTO DE DATOS 1.2 MATÉMATICAS DE REDES 1.2.1 REPRESENTACIÓN BINARIA DE DATOS Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS. Los computadores sólo

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES.

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES. 1.- a) Explica qué es un bit de información. Qué es el lenguaje binario? Bit es la abreviatura de Binary digit. (Dígito binario). Un bit es un dígito del lenguaje binario que es el lenguaje universal usado

Más detalles

GedicoPDA: software de preventa

GedicoPDA: software de preventa GedicoPDA: software de preventa GedicoPDA es un sistema integrado para la toma de pedidos de preventa y gestión de cobros diseñado para trabajar con ruteros de clientes. La aplicación PDA está perfectamente

Más detalles

UNIVERSIDAD NACIONAL DE INGENIERÍA FACULTAD DE ELECTROTECNIA Y COMPUTACIÓN DEPARTAMENTO DE SISTEMAS DIGITALES Y TELECOMUNICACIONES

UNIVERSIDAD NACIONAL DE INGENIERÍA FACULTAD DE ELECTROTECNIA Y COMPUTACIÓN DEPARTAMENTO DE SISTEMAS DIGITALES Y TELECOMUNICACIONES UNIVERSIDAD NACIONAL DE INGENIERÍA FACULTAD DE ELECTROTECNIA Y COMPUTACIÓN DEPARTAMENTO DE SISTEMAS DIGITALES Y TELECOMUNICACIONES LIDER EN CIENCIA Y TECNOLOGIA Carrera Ing. Electrónica Guía de Laboratorio

Más detalles

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera:

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera: 1. Datos generales de la asignatura Nombre de la asignatura: Clave de la asignatura: Créditos(Ht-Hp_créditos): Carrera: Sistemas Embebidos SIB-1307 1-4-5 Ingeniería Electrónica 2. Presentación Caracterización

Más detalles

Descripción de las tarjetas de captura de datos

Descripción de las tarjetas de captura de datos Anexo 5.1 Descripción de las tarjetas de captura de datos A5.1.1 Tarjeta PCI-1200. El modelo PCI-1200 forma parte de la familia 1200. Su diagrama de bloques es: Figura A5.1.1 Diagrama de bloques de la

Más detalles

SCT3000 95. Software para la calibración de transductores de fuerza. Versión 3.5. Microtest S.A. microtes@arrakis.es

SCT3000 95. Software para la calibración de transductores de fuerza. Versión 3.5. Microtest S.A. microtes@arrakis.es SCT3000 95 Versión 3.5 Software para la calibración de transductores de fuerza. Microtest S.A. microtes@arrakis.es Introducción El programa SCT3000 95, es un sistema diseñado para la calibración automática

Más detalles

una industria o en lugares remotos, y envía esos datos a una unidad central que realiza el procesamiento de los datos. En la actualidad los

una industria o en lugares remotos, y envía esos datos a una unidad central que realiza el procesamiento de los datos. En la actualidad los SCADA Supervisory Control And Data Acquisition iii Es un sistema ste que colecta datos provenientes e de diferentes e sensores so es en una industria o en lugares remotos, y envía esos datos a una unidad

Más detalles

Adquisición de Datos usando Matlab

Adquisición de Datos usando Matlab 21 Adquisición de Datos usando Matlab Bruno Vargas Tamani Facultad de Ingeniería Electrónica y Eléctrica, Universidad Nacional Mayor de San Marcos, Lima, Perú RESUMEN: La interconexión a nivel de computadoras

Más detalles

Elementos requeridos para crearlos (ejemplo: el compilador)

Elementos requeridos para crearlos (ejemplo: el compilador) Generalidades A lo largo del ciclo de vida del proceso de software, los productos de software evolucionan. Desde la concepción del producto y la captura de requisitos inicial hasta la puesta en producción

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

CONEXIONADOS OPCIONALES

CONEXIONADOS OPCIONALES CLP Portátil Caudalímetro - Balanza CONEXIONADOS OPCIONALES ALPHA C.I.S.A. / HPing. 03571-422551 / 431124 Comentarios El caudalímetro de áridos, en su versión CLP Portátil, permite su interconexión con

Más detalles

PRÁCTICAS VÍA INTERNET Célula de Clasificación

PRÁCTICAS VÍA INTERNET Célula de Clasificación PRÁCTICAS VÍA INTERNET Célula de Clasificación Operación Remota e Interfaz Web 1. Introducción Los variadores son convertidores de frecuencia encargados de modular la señal de alterna que recibe el motor.

Más detalles

1. Representación de la información en los sistemas digitales

1. Representación de la información en los sistemas digitales Oliverio J. SantanaJaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2005 2006 1. Representación de la información en los sistemas digitales Durante Hoy Los digital tipo muchos

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

Transformación de binario a decimal. Transformación de decimal a binario. ELECTRÓNICA DIGITAL

Transformación de binario a decimal. Transformación de decimal a binario. ELECTRÓNICA DIGITAL ELECTRÓNICA DIGITAL La electrónica es la rama de la ciencia que se ocupa del estudio de los circuitos y de sus componentes, que permiten modificar la corriente eléctrica amplificándola, atenuándola, rectificándola

Más detalles

Parámetros con la ventana de selección de usuario, reglas, texto y descomposición (IVE)

Parámetros con la ventana de selección de usuario, reglas, texto y descomposición (IVE) QUÉ SON CONCEPTOS PARAMÉTRICOS? Los conceptos paramétricos de Presto permiten definir de una sola vez una colección de conceptos similares a partir de los cuales se generan variantes o conceptos derivados

Más detalles

Diseño de un conjunto de herramientas software para ataques por canal lateral

Diseño de un conjunto de herramientas software para ataques por canal lateral Diseño de un conjunto de herramientas software para ataques por canal lateral Alberto Fuentes Rodríguez 1, Luis Hernández Encinas 1, Agustín Martín Muñoz 1 y Bernardo Alarcos Alcázar 2 1 Departamento de

Más detalles

SISTEMAS DE NUMERACIÓN. Sistema decimal

SISTEMAS DE NUMERACIÓN. Sistema decimal SISTEMAS DE NUMERACIÓN Sistema decimal Desde antiguo el Hombre ha ideado sistemas para numerar objetos, algunos sistemas primitivos han llegado hasta nuestros días, tal es el caso de los "números romanos",

Más detalles

GRADO DE INGENIERÍA INFORMÁTICA INFORMÁTICA

GRADO DE INGENIERÍA INFORMÁTICA INFORMÁTICA GRADO DE INGENIERÍA INFORMÁTICA INFORMÁTICA Perfil profesional e inserción laboral Perfil profesional e inserción laboral Según el Libro Blanco del Título de Grado de Ingeniería Informática, publicado

Más detalles

00352.3 KW x hora. on/off

00352.3 KW x hora. on/off Proyecto HomeControl. Se desea controlar la temperatura de una oficina con un computador de forma que se consiga el máximo ahorro energético y el confort de sus ocupantes. La oficina tiene actualmente

Más detalles

Los mayores cambios se dieron en las décadas de los setenta, atribuidos principalmente a dos causas:

Los mayores cambios se dieron en las décadas de los setenta, atribuidos principalmente a dos causas: SISTEMAS DISTRIBUIDOS DE REDES 1. SISTEMAS DISTRIBUIDOS Introducción y generalidades La computación desde sus inicios ha sufrido muchos cambios, desde los grandes equipos que permitían realizar tareas

Más detalles

I2C. Ing. Pablo Martín Gomez pgomez@fi.uba.ar

I2C. Ing. Pablo Martín Gomez pgomez@fi.uba.ar I2C Ing. Pablo Martín Gomez pgomez@fi.uba.ar 1 Comunicaciones en un bus serie 2 Comunicaciones en un bus serie 3 I²C Velocidad 4 UART Universal Asynchronous Receiver Transmitter Estándar de comunicación

Más detalles

Barcelona, 4 junio de 2009.

Barcelona, 4 junio de 2009. UNIVERSIDAD DE ORIENTE NÚCLEO DE ANZOÁTEGUI ESCUELA DE INGENIERÍA Y CIENCIAS APLICADAS DEPARTAMENTO DE TECNOLOGÍA ÁREA DE ELECTRÓNICA LAB. DE COMUNICACIONES I Profesor: Vásquez Mardelinis Bachilleres:

Más detalles

Diseño e implementación de Filtros Digitales. Mg. Ing. Luis Romero Dr. Ing. Vicente Mut Dr. Ing. Carlos Soria Año 2011

Diseño e implementación de Filtros Digitales. Mg. Ing. Luis Romero Dr. Ing. Vicente Mut Dr. Ing. Carlos Soria Año 2011 Diseño e implementación de Filtros Digitales Mg. Ing. Luis Romero Dr. Ing. Vicente Mut Dr. Ing. Carlos Soria Año 2011 Introducción Un buen diseño de un filtro digital y su eficiente implementación en hardware

Más detalles

Cursos de la Especialidad de Electrónica

Cursos de la Especialidad de Electrónica Cursos de la Especialidad de Electrónica PRIMER AÑO Física El curso comprende los siguientes temas: electricidad, electromagnetismo, análisis de circuitos eléctricos, medidas eléctricas, física no eléctrica.

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

H 4.2.2: DESARROLLO DE UN SISTEMA DE CONTROL, MONITORIZACIÓN Y REGISTRO LOCALY REMOTO PARA EL SIRVE SIRVE

H 4.2.2: DESARROLLO DE UN SISTEMA DE CONTROL, MONITORIZACIÓN Y REGISTRO LOCALY REMOTO PARA EL SIRVE SIRVE H 4.2.2: DESARROLLO DE UN SISTEMA DE CONTROL, MONITORIZACIÓN Y REGISTRO LOCALY REMOTO PARA EL SIRVE SIRVE SISTEMAS INTEGRADOS PARA LA RECARGA DE VEHÍCULOS ELÉCTRICOS Socios del proyecto: Colaborador: Proyecto

Más detalles

Tabla 10.2. Tabla de equivalencia entre asignaturas de Ingeniería Técnica en Informática de Gestión al Grado en Ingeniería Informática. Créd LRU.

Tabla 10.2. Tabla de equivalencia entre asignaturas de Ingeniería Técnica en Informática de Gestión al Grado en Ingeniería Informática. Créd LRU. El proceso de adaptación de los estudiantes de la actual Ingeniería Técnica en Informática de Gestión al título de grado en Ingeniería Informática se realizará a requerimiento de los propios estudiantes

Más detalles

SECCION 0: DESCRIPCIÓN DEL PRODUCTO

SECCION 0: DESCRIPCIÓN DEL PRODUCTO SECCION 0: DESCRIPCIÓN DEL PRODUCTO Descripción del Producto Pág.:1 de 11 CONTENIDO CONTENIDO... 2 1. DESCRIPCIÓN GENERAL... 3 1.1. Pantalla LCD... 4 1.2. Teclado... 5 1.3. Impresora... 6 2. DESCRIPCIÓN

Más detalles

La Computadora. Operaciones de entrada al ser receptora de información. Operaciones de cálculo, lógica y almacenamiento.

La Computadora. Operaciones de entrada al ser receptora de información. Operaciones de cálculo, lógica y almacenamiento. La Computadora Para entender mejor el concepto de Computadora tenemos que saber qué es la computación. La computación como tal, se entinte como el arte de contar o calcular, tal como lo afirma el Diccionario

Más detalles

Guía de la Práctica 1

Guía de la Práctica 1 Guía de la Práctica 1 Este documento tiene por objeto fijar las bases y criterios por los que se regirá la realización de la Práctica 1 de la asignatura del Título de Grado en Ingeniería Informática de

Más detalles

ETN 404 Mediciones Eléctricas Docente: Ing. Juan Carlos Avilés Cortez. 2014

ETN 404 Mediciones Eléctricas Docente: Ing. Juan Carlos Avilés Cortez. 2014 UNIVERSIDAD MAYOR DE SAN ANDRÉS FACULTAD DE INGENIERIA INGENIERIA ELECTRONICA ETN 404 Mediciones Eléctricas Docente: Ing. Juan Carlos Avilés Cortez. 2014 El amplificador Operacional El Amplificador Operacional

Más detalles

TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS

TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS . ARITMÉTICA BINARIA. Aritmética binaria básica a) Suma binaria.sea C i el acarreo (carry) generado al sumar los bits A i B i (A i +B i ) 2. Sea i= y C

Más detalles

Anexo B. Comunicaciones entre mc y PC

Anexo B. Comunicaciones entre mc y PC Anexo B Comunicaciones entre mc y PC En este apartado se hará hincapié en los comandos para el manejo del módulo de comunicaciones desde el PC. Conociendo estos comando se podrá realizar una aplicación

Más detalles

MULTIMETRO DIGITAL (MARCA FLUKE. MODELO 87) INTRODUCCIÓN

MULTIMETRO DIGITAL (MARCA FLUKE. MODELO 87) INTRODUCCIÓN MULTIMETRO DIGITAL (MARCA FLUKE. MODELO 87) INTRODUCCIÓN Este es un compacto y preciso multímetro digital de 4 ½ dígitos, opera con batería y sirve para realizar mediciones de voltaje y corriente de C.A.

Más detalles

SUNNY CENTRAL COMMUNICATION CONTROLLER

SUNNY CENTRAL COMMUNICATION CONTROLLER Información técnica SUNNY CENTRAL COMMUNICATION CONTROLLER Monitorización profesional de la instalación para los SUNNY CENTRAL de las series HE-20 y CP Contenido El Sunny Central Communication Controller

Más detalles

Práctica PLC1: Introducción a la programación del PLC Siemens 314IFM en el entorno Step-7

Práctica PLC1: Introducción a la programación del PLC Siemens 314IFM en el entorno Step-7 Práctica PLC1: Introducción a la programación del PLC Siemens 314IFM en el entorno Step-7 1. Objetivos El objetivo de esta práctica es familiarizar al alumno con los autómatas programables de la serie

Más detalles