Unidad Aritmético Lógica A.G.O. All Rights Reserved

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Unidad Aritmético Lógica A.G.O. All Rights Reserved"

Transcripción

1 Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved

2 Introducción Operador aritmético y lógico (uno o varios). El Acumulador. Uno o varios registros temporales. Un banco de registros. Indicadores de resultado: Acarreo (C) Negativo (N) Desbordamiento (O) Cero (Z) B C A memoria TEMP D E OPE ALU Z C O Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 2

3 Operaciones lógicas Fáciles de implementar Correspondencia directa con Hardware. Puertas lógicas AND, OR, OR-EXCLUSIVA, INVERSORES,... A B e e1 Resultado e2 Operación Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 3

4 Unidad Lógica ope función NOT A 1 NOT B B A 1 A AND B 11 A OR B 1 A NAND B 3 ope Unidad Lógica 11 A NOR B 11 A XOR B Y 111 A NO_XOR B Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 4

5 Unidad Lógica library IEEE; use IEEE.STD_LOGIC_1164.ALL; (generic n:integer:=8); entity unidad_logica is Port ( a : in std_logic_vector(n-1 downto ); b : in std_logic_vector(n-1 downto ); ope : in std_logic_vector(3 downto ); y : out std_logic_vector(n-1 downto )); end unidad_logica; architecture comporta of unidad_logica is begin PROCESS(a, b, ope) begin CASE ope(2 DOWNTO ) IS -- puede ser cualquier bit WHEN " => y <= NOT a; WHEN 1" => y <= NOT b; WHEN 1" => y <= a AND b; WHEN 11" => y <=a OR b; WHEN 1" => y <=a NAND b; WHEN 11" => y <=a NOR b; WHEN 11" => y <=a XOR b; WHEN OTHERS => y <= NOT (a XOR b); END CASE; end process; end comporta; Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 5

6 Unidad Lógica ope función NOT A 1 NOT B 1 A AND B 11 A OR B 1 A NAND B 11 A NOR B 11 A XOR B 111 A NO_XOR B Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 6

7 Operaciones de desplazamiento Consisten en trasladar los bits de una palabra hacia la izquierda o derecha. Si llamamos O al operando origen, de n bits (o n-1 o 2 o 1 o ) y D al operando destino, de n bits, (d n-1 d 2 d 1 d ) d i+k = o i para i=,1, n-1 Donde k, indica el número de desplazamientos y el signo el sentido de los mismos: izquierda el signo es más (+) derecha el signo es menos (-) La cantidad de desplazamientos depende de la complejidad de las máquinas, las más sencilla admiten k=1 y k=-1. Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 7

8 Operaciones de desplazamiento La complejidad es elevada. Las señales de control son las mismas para cada bit. Las puertas pueden sustituirse por multiplexores Dependiendo de cómo se traten los extremos, se obtienen tres tipos de desplazamientos: Lógicos Circulares Aritméticos o 7 o 6 o 5 o 4 o 3 o 2 o 1 o d 7 d 6 d 5 d 4 d 3 d 2 d 1 d Señales de control k= -2 k= -1 k=+ k=+1 k=+2 Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 8

9 Operaciones de desplazamiento o 7 o 6 o 5 o 4 o 3 o 2 o 1 o k expresado en C MULTIPLEXOR 2 1 c c 1 c 2 Señales de control k=+2 k=+1 k=+ k= -1 k= -2 d 7 d 6 d 5 d 4 d 3 d 2 d 1 d Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 9

10 Desplazamientos lógicos Los valores extremos se completan con ceros, aunque se pueden plantear desplazamientos lógicos con inclusión de unos en lugar de ceros o 15 o 14 o 13 o 12 o 11 o 1 o 9 o 8 o 7 o 6 o 5 o 4 o 3 o 2 o 1 o Estos bits se pierden d 15 d 14 d 13 d 12 d 11 d 1 d 9 d 8 d 7 d 6 d 5 d 4 d 3 d 2 d 1 d K=4 Habitualmente, el origen y destino es la misma palabra. Desplazamiento lógico a la derecha Desplazamiento lógico a la izquierda Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 1

11 Operaciones de desplazamiento library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity shifter is GENERIC (N: INTEGER:=8); Port ( ENTRADA : in std_logic_vector(n-1 downto ); SALIDA : out std_logic_vector(n-1 downto ); shift : in std_logic); end shifter; architecture rtl of shifter is begin process (ENTRADA, shift ) begin if (shift ='') then SALIDA <= ENTRADA; else SALIDA()<=''; for i in 1 to ENTRADA'high loop SALIDA(i) <= ENTRADA(i-1); end loop; end if; end process; end rtl; Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 11

12 Operaciones de desplazamiento Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 12

13 Operaciones de desplazamiento library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity shifter2 is Port ( inp : in std_logic_vector(7 downto ); shift : in std_logic_vector(2 downto ); outp : out std_logic_vector(7 downto )); end shifter2; architecture Behavioral of shifter2 is begin PROCESS (inp, shift) VARIABLE temp1: STD_LOGIC_VECTOR (7 DOWNTO ); VARIABLE temp2: STD_LOGIC_VECTOR (7 DOWNTO ); BEGIN st shifter IF (shift()='') THEN temp1 := inp; ELSE temp1() := ''; FOR i IN 1 TO inp'high LOOP temp1(i) := inp(i-1); END LOOP; END IF; Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 13

14 Operaciones de desplazamiento nd shifter IF (shift(1)='') THEN temp2 := temp1; ELSE FOR i IN TO 1 LOOP temp2(i) := ''; END LOOP; FOR i IN 2 TO inp'high LOOP temp2(i) := temp1(i-2); END LOOP; END IF; rd shifter IF (shift(2)='') THEN outp <= temp2; ELSE FOR i IN TO 3 LOOP outp(i) <= ''; END LOOP; FOR i IN 4 TO inp'high LOOP outp(i) <= temp2(i-4); END LOOP; END IF; END PROCESS; end Behavioral; Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 14

15 Operaciones de desplazamiento Cuidado, primero desplaza 1 Después desplaza 2 Cuidado, primero desplaza 1 Después desplaza 4 Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 15

16 Desplazamientos circulares Los bits del origen que sobran por un lado, se insertan en el destino por el otro, matemáticamente: o i = d (n+i+k)mod n para i=1,2, n-1 o 15 o 14 o 13 o 12 o 11 o 1 o 9 o 8 o 7 o 6 o 5 o 4 o 3 o 2 o 1 o K=4 d 15 o 14 d 13 d 12 d 11 d 1 d 9 d 8 d 7 d 6 d 5 d 4 d 3 d 2 d 1 d Desplazamiento circular a la derecha Desplazamiento circular a la izquierda Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 16

17 Operaciones aritméticas: Suma y resta La suma se utiliza como operación primitiva para procesar muchas funciones aritméticas, por lo tanto merece una atención particular. El algoritmo clásico de lápiz y papel implica un procesado secuencial de los acarreos, cada uno de ellos depende de los que le preceden. El tiempo de procesado, por lo tanto, depende del número n de dígitos del operando. Para minimizar el tiempo de procesado, vamos a ver varios métodos. Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 17

18 Suma de números naturales Algoritmo básico: Considerando la base de representación B de dos números de n dígitos x y = = x y n 1 n 1 B B n 1 n x n 2 y n 2 B B n 2 n x y B B z = x + y + c in la suma procesa n+1 dígitos Algoritmo 1 lápiz y papel c()=c_in for i in to n-1 loop if x(i)+y(i)+c(i)>b-1 then c(i+1):=1; else c(i+1):=; end if; z(i):=(x(i)+y(i)+c(i)) mod B; end loop; z(n):=c(n) Como c(i+1) es una función de c(i) el tiempo de ejecución del algoritmo 1, es proporcional a n Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 18

19 Sumador con propagación de acarreo La estructura para sumar dos números de n bits es colocar en cascada n sumadores completos. El acarreo se propaga de una etapa a la siguiente: Sumador con Propagación de Acarreo (Carry Propagated Adder) y(n-1) x(n-1) y(1) x(1) y() x() FA c(n-1) c(2) FA c(1) FA c() z(n) z(n-1) z(1) z() Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 19

20 Sumador completo (F.A.) Entradas Salidas Cin A B Cin S Cout A B F.A. S Cout S = A B Cin Cout = A B + A Cin + B Cin Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 2

21 Sumador con propagación de acarreo Si llamamos C FA y T FA al coste y tiempo computacional de un FA (sumador completo), para un sumador de n bits tendremos: C sumador_básico (n) = n C FA T sumador_básico (n) = n T FA El comportamiento del sumador de acarreo propagado será: c()=c_in for i in to n-1 generate c(i+1)=x(i) y(i) + x(i) c(i) + y(i) c(i) z(i) =x(i) xor y(i) xor c(i) end generate; z(n):=c(n) Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 21

22 Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 22 Sumador con anticipación de acarreo Carry Chain Adder (CCA) Se puede acelerar el proceso de suma si se tiene en cuenta que se puede obtener acarreo de acuerdo a dos condiciones Señal generadora de acarreo : Señal propagadora de acarreo: El acarreo de la etapa i: Si particularizamos para 4 bits: i i i b a G + = i i i b a P = = i i i i C P G C C P G C C P G C C P G C C P G C + = + = + = + =

23 Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 23 Desarrollando las expresiones y poniéndolas en función de C-1: Todos los acarreos dependen de ai y bi. Estas expresiones se resuelven como suma de productos. Tres niveles de puertas lógicas para obtener cada uno de los acarreos C P P P P G P P P G P P G P G C C P P P G P P G P G C C P P G P G C C P G C = = + + = + = Sumador con anticipación de acarreo Carry Chain Adder (CCA)

24 Sumador con anticipación de acarreo Carry Chain Adder (CCA) Por lo tanto el acarreo siguiente se puede calcular como if p[x(i),y(i)]=1 then c(i+1):=c(i); else c(i+1):=g[x(i),y(i)]; end if; Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 24

25 Sumador con anticipación de acarreo Carry Chain Adder (CCA) -- cálculo de generación y propagación for i in to n-1 loop g(i):= g[x(i),y(i)]; p(i):= p[x(i),y(i)]; end loop; -- cálculo del acarreo c()=c_in for i in to n-1 loop if p(i)=1 then c(i+1):=c(i); else c(i+1):=g(i); end if; end loop; -- cálculo de la suma for i in to n-1 loop z(i):=(x(i)+y(i)+c(i)) mod B; end loop; z(n):=c(n) Algoritmo 2 acarreo anticipado Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 25

26 Sumador con anticipación de acarreo Carry Chain Adder (CCA) y(n-1) x(n-1) y(n-2) x(n-2) y(1) x(1) y() x() G-P G-P G-P G-P p(n-1) g(n-1) p(n-2) g(n-2) p(1) g(1) p() g() carry chain c(n-1) carry chain c(n-2) carry chain c(1) carry chain c() y(n-1) x(n-1) y(n-2) x(n-2) y(1) x(1) y() x() FA FA FA FA z(n) z(n-1) z(n-2) z(1) z() Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 26

27 Sumador con anticipación de acarreo Carry Chain Adder (CCA) El bloque carry chain calcula el acarreo siguiente, es decir, if p(i)=1 then c(i+1)= c(i); else c(i+1)= g(i); end if; g(i) c(i) 1 c(i+1) p(i) Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 27

28 Ejercicio propuesto 1. Modelar en VHDL utilizando COMPONENT una ALU que cumple las siguientes especificaciones: Ope Operación Función Resultado <= A Transparente a A 1 Resultado <= A +1 Incrementa A 1 Resultado <= A -1 Decrementa A A B 11 Resultado <= B Transparente a B 1 Resultado <= B+1 Incrementa B 11 Resultado <= B-1 Decrementa B 11 Resultado <= A B Resta Cin 111 Resultado <= A +B +Cin Suma A y B y el Cin 1 Resultado <= NOT A C1(A) Cout ALU ope 11 Resultado <= NOT B C1(B) 11 Resultado <= A AND B AND 11 Resultado <= A OR B OR 11 Resultado <= A NAND B NAND 111 Resultado <= A NOR B NOR 111 Resultado <= A XOR B XOR 1111 Resultado <= A XNOR B XNOR resultado Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 28

29 La multiplicación Algoritmo de suma y desplazamiento Si multiplicando de n bits y multiplicador de m bits, entonces el producto tendrá una longitud de n+m bits. Multiplicación binaria: sencilla ya que hay que multiplicar por 1 o por. Multiplicando Multiplicador Producto Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 29

30 Multiplicación binaria sin signo Repetir n veces Fin repetir Si el bit del registro producto=1 entonces Sumar el multiplicando a la mitad izquierda del producto y colocar el resultado en la mitad izquierda del producto. Fin entonces Desplazar 1 bit a la derecha el registro producto Versión final Multiplicando n bits ALU Suma Despl. derecha C Producto Multiplicador 2n bits Escribir Control Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 3

31 Multiplicación binaria sin signo 1 1 Multiplicando 1(d valores iniciales ALU Suma Despl. derecha Producto 1 1 Multiplicador 5(d Escribir Control Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 31

32 Multiplicación binaria sin signo 1 1 Multiplicando suma P y Mando ALU Suma Despl. derecha Producto Multiplicador Escribir Control Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 32

33 Multiplicación binaria sin signo 1 1 Multiplicando despla. P dcha. ALU Suma Despl. derecha Producto Multiplicador Escribir Control Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 33

34 Multiplicación binaria sin signo 1 1 Multiplicando despla. P dcha ALU Suma Despl. derecha Producto Multiplicador Escribir Control Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 34

35 Multiplicación binaria sin signo 1 1 Multiplicando suma P y Mando ALU Suma Despl. derecha Producto Multiplicador Escribir Control Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 35

36 Multiplicación binaria sin signo 1 1 Multiplicando despla. P dcha. ALU Suma Despl. derecha Producto Multiplicador Escribir Control Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 36

37 Multiplicación binaria sin signo 1 1 Multiplicando despla. P dcha. ALU Suma Despl. derecha Producto 5(d Multiplicador Escribir Control Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 37

38 Multiplicación rápida Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 38

39 Multiplicación binaria con signo Supongamos números expresados en Ca2 A = 11 y B = 11 Apliquemos algoritmo de sumas y desplazamientos 1 1 x x Versión errónea Versión correcta Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 39

40 Algoritmo de Booth Supongamos Multiplicando = 2 y Multiplicador = 7 (en binario 1 x 111) Booth expresó 7 = 8-1 y sustituyo el multiplicador por esta descomposición: 111 = 1-1 = Multiplicando x +1-1 Multiplicador según A. Booth Restamos el multiplciadndo 2 despl. (2 ceros en el multiplicador) 1 Sumamos el multiplicando Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 4

41 Algoritmo de Booth Bit actual Bit a la izquierda Sustitución (no hay transición) 1-1 (transición hacia negativoo) 1 +1 (transición hacia positivo) 1 1 (no hay transición) Ejemplo: Multiplicando = y Multiplicador = Recodificación del multiplicador según Booth = x Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 41

42 Algoritmo de Booth Inicialmente q -1 = Repetir n veces Si q = 1 y q -1 = entonces Producto h = producto h - Multiplicando Si q = y q -1 =1 entonces Producto h = Producto h + Multiplicando Desplazamiento aritmético a la derecha de Producto y q -1 Fin repetir. Multiplicando n bits ALU Suma/Resta Despl. derecha Producto Multiplicador q q -1 Control 2n bits Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 42

43 La división La división la podemos expresar como: Dividendo = Cociente x Divisor + Resto El resto es más pequeño que el divisor. Hay que reservar el doble de espacio para el dividendo. Supondemos operandos positivos. Dividendo Divisor Cociente Resto Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 43

44 Algoritmo con restauración Repetir n veces Desplazar el Dividendo a la izquierda Dividendo h = Dividendo h - Divisor Si Dividendo h < entonces (no cabe) Sino Fin Si Fin Repetir q = Dividendo h = Dividendo h + Divisor (restaurar) q =1 Divisor n bits ALU Suma/Resta Despl. izquierda Dividendo Cociente Resto 2n bits q Control Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 44

45 Algoritmo con restauración Dividendo Divisor Acción Iteración Valores iniciales 11 11_ 11 Desplazar un bit a izquierda _ 11 Restar Dividendo h > q = _ 11 Desplazar un bit a izquierda _ 11 Dividendo h - Divisor (Restar) Dividendo h > q = _ 11 Desplazar un bit a izquierda _ 11 Dividendo h - Divisor (Restar) Dividendo h <= q = Dividendo h + Divisor (Restaurar) _ 11 Desplazar un bit a izquierda _ 11 Dividendo h - Divisor (Restar) Dividendo h > q = 1 4 Resto Cociente Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 45

46 Algoritmo sin restauración Dividendo h = Dividendo h - Divisor Repetir n veces Si Dividendo h < entonces Desplazar el Dividendo a la izquierda Dividendo h = Dividendo h + Divisor Sino Desplazar el Dividendo a la izquierda Dividendo h = Dividendo h - Divisor Fin Si Si Dividendo h < entonces q = Sino q =1 Fin Si Fin Repetir ALU Divisor n bits Suma/Resta Despl. izquierda Dividendo Cociente Resto 2n bits q Control Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 46

47 Algoritmo sin restauración Dividendo Divisor Acción Iteración Valores iniciales Dividendo h - Divisor _ 1 Dividendo h < Desplazar Izda _ 1 Dividendo h + Divisor Dividendo h < q = _ 1 Dividendo h < Desplazar Izda _ 1 Dividendo h + Divisor Dividendo h < q = _ 1 Dividendo h < Desplazar Izda 3 1 1_ 1 Dividendo h + Divisor Dividendo h >= q = _ 1 Dividendo h > Desplazar Izda 4 1 1_ 1 Dividendo h - Divisor Dividendo h > q = 1 4 Resto Cociente Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 47

48 Conclusiones Sumadores Problemática temporal de los Sumadores con Propagación de Acarreo (CPA), especialmente si n elevado. Los Sumadores con anticipación de acarreo (CLA) mejoran el tiempo de respuesta de los sumadores. Multiplicación Problemática de la multiplicación de números con signo. El algoritmo de Booth permite multiplicar números en Ca2 y en algunos casos reduce el números de operaciones si aparecen cadenas de 1 s o s en el multiplicador. La División Algoritmo para la división con restauración para números positivos. Si números negativos, entonces tratamiento previo del signo, y en función de éste se obtiene el signo del resultado. Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 48

49 Coma flotante Representación para números fraccionarios Coma fija 1234,567 Logarítmica log 123,456 = 2, Coma flotante 1, x 1 3 Otras Ventajas de estandarizar una representación determinada Posibilidad de disponer de bibliotecas de rutinas aritméticas Técnicas de implementación en hardware de alto rendimiento Construcción de aceleradores aritméticos estándar, etc. En la actualidad la industria de los computadores está convergiendo hacia el formato del estándar del IEEE. Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 49

50 Estándar IEEE 754 para coma flotante Formatos Simple precisión (32 bits) 1 bit 8 bits 23 bits signo exponente mantisa Doble precisión (64 bits) 1 bit 11 bits 52 bits signo exponente mantisa Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 5

51 Estándar IEEE 754 para coma flotante Base del exponente 2 Exponente representado en exceso 2 q-1-1 Exceso a 127 en simple precisión Exceso a 123 en doble precisión Mantisa en valor absoluto; fraccionaria y normalizada con un uno implícito a la izquierda de la coma decimal. Mantisa de la forma 1,XXXXXX El primer uno nunca estará representado Valores posibles entre 1,... y 1, S es el signo de la mantisa Números (-1) S x 1,M x 2 E-127 simple precisión (-1) S x 1,M x 2 E-123 doble precisión Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 51

52 Estándar IEEE 754 para coma flotante Casos especiales E M Valores 2 q-1-1 NaN (no un Número) 2 q y - según el signo de S Cero Números desnormalizados NaN resultado de operaciones tales como /, El valor cero tiene dos representaciones + y. Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 52

53 Estándar IEEE 754 para coma flotante Formato desnormalizado,m x simple precisión,m x doble precisión -1, x ,...1 x ± 1,...1 x , x Sin números desnormalizados -, x ,...1 x 2 126,...1 x 2 126, x , x ,... x ± 1,...1 x , x Con números desnormalizados Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 53

54 Operaciones en coma flotante Operaciones aditivas Reglas de Suma/Resta 1. Seleccionar el número de menor exponente y desplazar su mantisa hacia la derecha tantas posiciones como la diferencia de los exponentes en valor absoluto. 2. Igualar el exponente del resultado al exponente mayor. 3. Operar las mantisas (según operación seleccionada y signos de ambos números) y obtener el resultado en signo y valor absoluto. 4. Normalizar el resultado y redondear la mantisa al número de bits apropiado. Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 54

55 Circuito Sumador/Restador S A E A M A S B E B M B signo Restador Magnitud 1 1 Desplazador Derecha 1 CONTROL Incr./Decr. Sumar/restar signo Sumador/ Restador Magnitud Desplazador Izq./Dcha ADICIÓN/ SUSTRACCIÓN Redondeo S R E R M R Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 55

56 Multiplicación y división Reglas de Multiplicación 1. Sumar los exponentes y restar el exceso para obtener el exponente del resultado 2. Multiplicar las mantisas para determinar la mantisa del resultado 3. Procesar los signos 4. Normaliza y redondear si es necesario Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 56

57 Multiplicación y división Reglas de División 1. Restar los exponentes y sumar el exceso para obtener el exponente resultado 2. Dividir las mantisas para determinar la mantisa del resultado. 3. Procesar los signos. 4. Normalizar y redondear si es necesario. Procesamiento de los signos S A S B S R S R = S A S B Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 57

58 Circuito Multiplicador /Divisor S A E A M A S B E B M B Sumador/ Restador Sumar/ restar Sumar/ restar EXCESO Sumador/ Restador 1 1 CONTROL Multiplicar/dividir Multiplicador /Divisor Magnitud Incr./Decr. Desplazador Izq./Dcha Redondeo MULTIPLICACIÓN /DIVISIÓN S R E R M R Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 58

59 Redondeo Las técnicas de redondeo consisten en limitar el número de bits al disponible en el sistema de representación utilizado. Dada una cantidad C, y un sistema de representación que permite representar los valores V, V 1, V r. El redondeo consiste en asignar a C una representación R que se le aproxime. Si V i-1 < C < V i el redondeo consiste en asignar V i-1 o V i como representación R de la cantidad C El error absoluto se define como: ε = R - C La resolución se define como: = V i V i-1 Técnicas de redondeo Truncamiento Redondeo propiamente dicho Bit menos significativo forzado a uno Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 59

60 Truncamiento Elimina los bits a la derecha que no caben en la representación. Es facil de implementar. El error del resultado es siempre por defecto. El error puede crecer rápidamente Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 6

61 Redondeo al más próximo Toma el valor más próximo al que se quiere representar Si V i-1 C < V i C entonces si no R V i-1 R V i Ejemplo: representación con 8 bits de punto implícito C =,11 1, V i-1 =,11,375 V i =,111, V i-1 C =, V i C =,39625 R=,11 Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 61

62 Bit menos significativo forzado a uno Consiste en truncar y forzar el bit menos significativo a uno Es muy rápido, tanto como el truncamiento Sus errores son tanto por defecto como por exceso. Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved 62

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTICO- LÓGICA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTICO- LÓGICA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ ARQUITETURAS DE OMUTADORES 2º URSO INGENIERÍA TÉNIA EN INFORMÁTIA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTIO- LÓGIA JOSÉ GARÍA RODRÍGUEZ JOSÉ ANTONIO SERRA ÉREZ Tema 3. La Unidad entral de roceso. A.L.U. 1 La

Más detalles

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

LECCIÓN 1. CIRCUITOS ARITMÉTICOS DE SUMA Y RESTA DE ENTEROS

LECCIÓN 1. CIRCUITOS ARITMÉTICOS DE SUMA Y RESTA DE ENTEROS LA UNIDAD ARITMÉTICA Y LÓGICA LECCIÓN 1. CIRCUITOS ARITMÉTICOS DE SUMA Y RESTA DE ENTEROS Departamento de Informática. Curso 2006-2007 1 EL SEMISUMADOR BINARIO S = ab + ba = a b C = ab Departamento de

Más detalles

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

Computación I Representación Interna Curso 2011

Computación I Representación Interna Curso 2011 Computación I Representación Interna Curso 2011 Facultad de Ingeniería Universidad de la República Estándar IEEE 754 Primero se definen tres formatos s e F Total (bits) (bits) (bits) (bytes) simple precisión

Más detalles

TEMA III: OPERACIONES CON LOS DATOS

TEMA III: OPERACIONES CON LOS DATOS CUESTIONES A TRATAR: Cual es la función de la unidad operativa? Es necesaria? Qué tipos de circuitos implementan la unidad operativa? Unidad operativa frente a ALU Qué es una operación de múltiple precisión?

Más detalles

Aritmética del computador. Departamento de Arquitectura de Computadores

Aritmética del computador. Departamento de Arquitectura de Computadores Aritmética del computador Departamento de Arquitectura de Computadores Contenido La unidad aritmético lógica (ALU) Representación posicional. Sistemas numéricos Representación de números enteros Aritmética

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos Fundamentos de Computadores Tema 5 Circuitos Aritméticos OBJETIVOS Conceptuales: Suma y resta binaria Implementaciones hardware/software Circuito sumador y semi-sumador básico Sumadores/restadores de n

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

Estructura de Computadores. 1. Ejercicios Resueltos 1.1. Tema 6. La unidad aritmética y lógica

Estructura de Computadores. 1. Ejercicios Resueltos 1.1. Tema 6. La unidad aritmética y lógica Estructura de Computadores Tema 6. La unidad aritmética y lógica Operaciones típicas de la unidad aritmético-lógica. Algoritmos de multiplicación de Robertson y de Booth. Algoritmos de división con y sin

Más detalles

OPERADORES: Maquinaria para realizar las instrucciones. Capítulo Tercero Fundamentos de Computadores Ingeniería de Telecomunicación

OPERADORES: Maquinaria para realizar las instrucciones. Capítulo Tercero Fundamentos de Computadores Ingeniería de Telecomunicación OPERADORES: Maquinaria para realizar las instrucciones. Capítulo Tercero Fundamentos de Computadores Ingeniería de Telecomunicación 1 Introducción (I) ALU / Arquitectura Von Neumann CPU banco de registros

Más detalles

REPRESENTACION DE LA INFORMACION

REPRESENTACION DE LA INFORMACION CAPITULO SEGUNDO. REPRESENTACION DE LA INFORMACION Estructura de Ordenadores. Departamento de Automática Juana Mª López Dpto. Automática. Estructura de computadores. Capítulo 2. Página 1 INTRODUCCION Clasificación

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Tipos de Datos y Representaciones. Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC.

Tipos de Datos y Representaciones. Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC. Tipos de Datos y Representaciones Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC. Índice 1. Sistemas numéricos posicionales 2. Números octales y hexadecimales 3. Conversiones entre

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

Circuitos Aritméticos. Introducción a los Sistemas Lógicos y Digitales 2012

Circuitos Aritméticos. Introducción a los Sistemas Lógicos y Digitales 2012 Circuitos Aritméticos Introducción a los Sistemas Lógicos y Digitales 2012 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2012 Clasificación según función: Sumadores. Restadores. Multiplicadores.

Más detalles

Universidad de San Buenaventura - Facultad de Ingeniería

Universidad de San Buenaventura - Facultad de Ingeniería Aproximaciones Para trabajar con números decimales que tienen muchas cifras decimales, o infinitas, hacemos aproximaciones. Decimos que la aproximación de un número es por defecto cuando es menor que el

Más detalles

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5 Planificaciones 8641 - Sistemas Digitales Docente responsable: ALVAREZ NICOLAS 1 de 5 OBJETIVOS El objetivo de la materia es aprender a diseñar arquitecturas digitales utilizando como herramienta los lenguajes

Más detalles

EJERCICIOS RESUELTOS DEL TEMA 5

EJERCICIOS RESUELTOS DEL TEMA 5 EJERCICIOS RESUELTOS DEL TEMA 5 MULTIPLICACIÓN 1.- Multiplicar los números 27 y -7 utilizando representación binaria en complemento a 2, con el mínimo número posible de bits y empleando el algoritmo apropiado.

Más detalles

Circuitos combinacionales aritméticos (Parte II)

Circuitos combinacionales aritméticos (Parte II) Circuitos combinacionales aritméticos (Parte II) Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid Contenidos. Circuitos sumadores y restadores Ø Sumadores con

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC Electrónica Básica Aritmética Binaria Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC ARITMÉTICA BINARIA Operaciones en el sistema Binario Natural Suma Binaria

Más detalles

Representación de la Información.... en los Computadores

Representación de la Información.... en los Computadores Representación de la Información... en los Computadores 1 Información e Informática Un computador es una máquina que procesa información. La ejecución de un programa implica el tratamiento de los datos.

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 2: La Unidad Aritmético - Lógica Objetivos Comprender cómo se realiza un sumador con propagación de acarreo

Más detalles

https://dac.escet.urjc.es/docencia/etc-sistemas/teoria-cuat1/tema2.pdf

https://dac.escet.urjc.es/docencia/etc-sistemas/teoria-cuat1/tema2.pdf 1.3 Sistemas numéricos 1.3.1. Introducción Un sistema de representación numérica es un lenguaje que consiste en: Un conjunto ordenado de símbolos (dígitos o cifras) y otro de reglas bien definidas para

Más detalles

ELO311 Estructuras de Computadores Digitales. Algoritmos de Multiplicación y División

ELO311 Estructuras de Computadores Digitales. Algoritmos de Multiplicación y División ELO311 Estructuras de Computadores Digitales Algoritmos de Multiplicación y División Tomás Arredondo Vidal Este material está basado en: material de apoyo del texto de David Patterson, John Hennessy, "Computer

Más detalles

UNIDAD DIDÁCTICA #1 CONTENIDO

UNIDAD DIDÁCTICA #1 CONTENIDO UNIDAD DIDÁCTICA #1 CONTENIDO OPERACIONES CON DECIMALES MULTIPLICACION DE DECIMALES DIVISIÓN DE DECIMALES OPERACIONES COMBINADAS CON DECIMALES POTENCIACIÓN DE DECIMALES HOJA DE EVALUACIÓN BIBLIOGRAFÍA

Más detalles

Tema IV. Unidad aritmético lógica

Tema IV. Unidad aritmético lógica Tema IV Unidad aritmético lógica 4.1 Sumadores binarios 4.1.1 Semisumador binario (SSB) 4.1.2 Sumador binario completo (SBC) 4.1.3 Sumador binario serie 4.1.4 Sumador binario paralelo con propagación del

Más detalles

Bloques Aritméticos - Multiplicadores

Bloques Aritméticos - Multiplicadores Bloques Aritméticos - Multiplicadores La multiplicación es una operación cara (en términos de recursos) y lenta Este hecho ha motivado la integración de unidades completas de multiplicación en los DSPs

Más detalles

SISTEMAS DIGITALES. Margarita Pérez Castellanos

SISTEMAS DIGITALES. Margarita Pérez Castellanos SISTEMAS DIGITALES TEMA 3: SISTEMAS ARITMÉTICOS 1 TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3) 1. Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales.

Más detalles

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica Problemas propuestos en examen PROBLEMAS TEMA 4: Unidad Aritmético Lógica 4.1 Se desea realizar una Unidad Aritmético Lógica que realice dos operaciones, suma y comparación de dos números X (x 2 ) e Y

Más detalles

Sumador Ripple-Carry

Sumador Ripple-Carry Sumador Ripple-Carry Sumador de N bits conectando en cascada N circuitos sumadores completos (FA) conectando C o,k-1 a C i,k para k=1 a N- 1 y con C i,0 conectado a 0 Sumador Ripple-Carry El retardo del

Más detalles

Tema 2. Sistemas de representación de la información

Tema 2. Sistemas de representación de la información Tema 2. Sistemas de representación de la información Soluciones a los problemas impares Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Tema 2: Hoja: 2 / 36 Tema 2: Hoja:

Más detalles

Figura 1: Suma binaria

Figura 1: Suma binaria ARITMÉTICA Y CIRCUITOS BINARIOS Los circuitos binarios que pueden implementar las operaciones de la aritmética binaria (suma, resta, multiplicación, división) se realizan con circuitos lógicos combinacionales

Más detalles

Suma Resta Multiplica. División Alg. Boole Tbla Verdad Circuitos Karnaugh

Suma Resta Multiplica. División Alg. Boole Tbla Verdad Circuitos Karnaugh Sistemas de Numeración Operaciones Aritméticas Con SIGNO 2007-0808 Sistemas de Numeración 1 Suma SUMA: Cuatro posibles casos: AyBsonpositivos => >A+B> >= 0 A y B son negativos => A+B < 0 A positivo y B

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS

TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS . ARITMÉTICA BINARIA. Aritmética binaria básica a) Suma binaria.sea C i el acarreo (carry) generado al sumar los bits A i B i (A i +B i ) 2. Sea i= y C

Más detalles

Aritmética de Enteros

Aritmética de Enteros Aritmética de Enteros La aritmética de los computadores difiere de la aritmética usada por nosotros. La diferencia más importante es que los computadores realizan operaciones con números cuya precisión

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

Organización de Computadoras 2014. Apunte 2: Sistemas de Numeración: Punto Flotante

Organización de Computadoras 2014. Apunte 2: Sistemas de Numeración: Punto Flotante Organización de Computadoras 2014 Apunte 2: Sistemas de Numeración: Punto Flotante La coma o punto flotante surge de la necesidad de representar números reales y enteros con un rango de representación

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 SEMI-SUMDOR SUMNDOS SUM CRREO B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 SUM BINRI B S = B S =. B SUMDOR TOTL Ejemplo de suma B Ci Ci 1 1 0 0 1 i 1 1 1 0 1 Bi 1 0 0 1 Si 1 0 0 1 1 0 Co 1 1 0 0 1 Σ S Co Ci B

Más detalles

Representación de números enteros: el convenio exceso Z

Representación de números enteros: el convenio exceso Z Representación de números enteros: el convenio exceso Z Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informàtica de Sistemes i Computadors Escola Tècnica Superior d

Más detalles

Representación de Números Reales

Representación de Números Reales Representación de Números Reales María Elena Buemi 15 abril de 2011 Introducción a la Computación Representación de Números Reales Cómo se representa un número real? Un numeral con parte entera y parte

Más detalles

Primera versión del Algoritmo y Hardware de la Multiplicación.

Primera versión del Algoritmo y Hardware de la Multiplicación. 3.6 Multiplicación La multiplicación es una operación mas complicada que la suma y que la resta. Para entender como es que el hardware realiza esta operación, con base en la ALU desarrollada, daremos un

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

APUNTES DE CATEDRA: SISTEMAS DE NUMERACION - REPRESENTACION INTERNA DE NUMEROS Y CARACTERES

APUNTES DE CATEDRA: SISTEMAS DE NUMERACION - REPRESENTACION INTERNA DE NUMEROS Y CARACTERES Cátedra de COMPUTACION Carreras: Licenciatura en Matemática Profesorado en Matemática Profesora: Mgr. María del Carmen Varaldo APUNTES DE CATEDRA: SISTEMAS DE NUMERACION - REPRESENTACION INTERNA DE NUMEROS

Más detalles

Números. un elemento perteneciente al conjunto D b. de los dígitos del sistema. D b

Números. un elemento perteneciente al conjunto D b. de los dígitos del sistema. D b 1 Un número es un ente que permite representar simbólicamente las veces que la unidad está presente en la cantidad observada o medida. Números representados por una cantidad finita de dígitos o cifras.

Más detalles

ESCUELA POLITÉCNICA NACIONAL

ESCUELA POLITÉCNICA NACIONAL ESCUELA POLITÉCNICA NACIONAL Campus Politécnico "J. Rubén Orellana R." FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Carrera de Ingeniería Electrónica y Control Carrera de Ingeniería Electrónica y Telecomunicaciones

Más detalles

OPEN KNOWLEDGE CURSO DE METODOS NUMERICOS

OPEN KNOWLEDGE CURSO DE METODOS NUMERICOS OPEN KNOWLEDGE CURSO DE METODOS NUMERICOS Juan F. Dorado Diego F. López Laura B. Medina Juan P. Narvaez Roger Pino Universidad de San Buenaventura, seccional Cali OPEN KNOWLEDEGE CURSO DE METODOS NUMERICOS

Más detalles

en coma flotante Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2006

en coma flotante Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2006 Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2006 4. Representación n de números n en coma flotante Para La números representar fraccionarios números

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Guía práctica de estudio 06: Lenguaje binario

Guía práctica de estudio 06: Lenguaje binario Guía práctica de estudio 06: Lenguaje binario Elaborado por: M.C. Edgar E. García Cano Ing. Jorge A. Solano Gálvez Revisado por: Ing. Laura Sandoval Montaño Guía práctica de estudio 06: Lenguaje binario

Más detalles

Diseño de Operadores Aritméticos

Diseño de Operadores Aritméticos Diseño de Operadores Aritméticos Dr. Andrés David García García Departamento de Mecatrónica ITESM-CEM Algoritmos de procesamiento digital de señales Los algoritmos de DSP basan su funcionamiento en operadores

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

+18 = 00010010-18 = 10010010

+18 = 00010010-18 = 10010010 Capítulo 8. Aritmética del Procesador Las dos preocupaciones principales de la aritmética de una computadora son la manera en que se representan los números (el formato binario) y los algoritmos utilizados

Más detalles

TEMA 1: INTRODUCCIÓN A LOS SISTEMAS DIGITALES.

TEMA 1: INTRODUCCIÓN A LOS SISTEMAS DIGITALES. TECNOLOGÍA DE COMPUTADORE. CURO 2007/08 Inocente ánchez Ciudad TEMA 1: INTRODUCCIÓN A LO ITEMA DIGITALE. 1.1. istemas Analógicos y Digitales. Magnitud analógica es aquélla que puede tomar cualquier valor

Más detalles

Datos y tipos de datos

Datos y tipos de datos Datos y tipos de datos Dato Representación formal de hechos, conceptos o instrucciones adecuada para su comunicación, interpretación y procesamiento por seres humanos o medios automáticos. Tipo de dato

Más detalles

Unidad Aritmético Lógica. Escuela Superior de Informática Universidad de Castilla-La Mancha

Unidad Aritmético Lógica. Escuela Superior de Informática Universidad de Castilla-La Mancha Unidad Aritmético Lógica Escuela Superior de Informática Universidad de Castilla-La Mancha Contenido Introducción Operaciones lógicas: desplazamientos lógicos Operaciones aritméticas: multiplicación y

Más detalles

CIRCUITOS ARITMÉTICOS. Tema 5: CIRCUITOS ARITMÉTICOS

CIRCUITOS ARITMÉTICOS. Tema 5: CIRCUITOS ARITMÉTICOS Tema 5: CIRCUITOS ARITMÉTICOS Contenido: * Aritmética binaria. * Circuito semisumador. Sumador completo. * Operaciones con n bits. Sumador paralelo con arrastre serie. * Circuito sumador-restador. * Sumador

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

Guía práctica de estudio 06: Lenguaje binario

Guía práctica de estudio 06: Lenguaje binario Guía práctica de estudio 06: Lenguaje binario Elaborado por: M.C. Edgar E. García Cano Ing. Jorge A. Solano Gálvez Revisado por: Ing. Laura Sandoval Montaño Guía práctica de estudio 06: Lenguaje binario

Más detalles

5.2. Sistemas de codificación en binario

5.2. Sistemas de codificación en binario 5.2. Sistemas de codificación en binario 5.2.1. Sistemas numéricos posicionales [ Wakerly 2.1 pág. 26] 5.2.2. Números octales y hexadecimales [ Wakerly 2.2 pág. 27] 5.2.3. Conversión general de sistemas

Más detalles

Sistemas de Numeración

Sistemas de Numeración Sistemas de Numeración Parte 2: Representación de Reales Lic. Andrea V. Manna Sistemas posicionales: Repaso N= d k-1 d k-2 d 1 d 0,d -1 d -l = d k-1 *p k-1 + d k-2 *p k-2 +.+ d 0 *p 0,+ d -1 *p -1 +...+

Más detalles

Operaciones en Datos

Operaciones en Datos Universidad Nacional de Ingeniería Facultad de Ciencias Introducción a la Ciencia de la Computación Operaciones en Datos Prof: J. Solano 2011-I Objetivos Despues de estudiar este cap. el estudiante sera

Más detalles

Lenguaje VHDL. Código para representar sistemas digitales en VHDL JJVS-09

Lenguaje VHDL. Código para representar sistemas digitales en VHDL JJVS-09 Lenguaje VHDL Código para representar sistemas digitales en VHDL 1 Las secciones fundamentales que forman el código en VHDL son: librería (LIBRARY), entidad (ENTITY) y arquitectura (ARCHITECTURE). LIBRARY:

Más detalles

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos. Practica No. 8 Introducción a las Máquinas de Estados Objetivo: Familiarizar al alumno en el conocimiento de los algoritmos de las máquinas de estados. Desarrollo: Para cada uno de los siguientes apartados,

Más detalles

Generador de Secuencia Binaria Pseudo Aleatoria

Generador de Secuencia Binaria Pseudo Aleatoria Generador de Secuencia Binaria Pseudo Aleatoria Nota Técnica 12 Cristian Sisterna Introducción La generación de una secuencia pseudo aleatoria de números binarios es muy útil en ciertas ambientes de test

Más detalles

Ingeniería en Computación

Ingeniería en Computación Universidad Autónoma del Estado de México Centro Universitario UAEM Valle de México Ingeniería en Computación Unidad de Aprendizaje: Lenguaje Ensamblador Tema: Sistemas Numéricos E l a b o r ó : D r. en

Más detalles

Fracciones numéricas enteras

Fracciones numéricas enteras Números racionales Fracciones numéricas enteras En matemáticas, una fracción numérica entera expresa la división de un número entero en partes iguales. Una fracción numérica consta de dos términos: El

Más detalles

TEMA II SISTEMAS DE NUMERACIÓN USUALES EN INFORMÁTICA.

TEMA II SISTEMAS DE NUMERACIÓN USUALES EN INFORMÁTICA. TEMA II SISTEMAS DE NUMERACIÓN USUALES EN INFORMÁTICA. INTRODUCCIÓN. Codificación de la información. Codificación consiste en representar los elementos de un conjunto mediante los elementos de otro conjunto.

Más detalles

Sistemas Numéricos y Códigos Binarios

Sistemas Numéricos y Códigos Binarios Sistemas Numéricos y Códigos Binarios Marcelo Guarini Departamento de Ingeniería Eléctrica, 5 de Abril, 5 Sistemas Numéricos en Cualquier Base En el sistema decimal, cualquier número puede representarse

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

Organización de Computadoras. Clase 2

Organización de Computadoras. Clase 2 Organización de Computadoras Clase 2 Temas de Clase Representación de datos Números con signo Operaciones aritméticas Banderas de condición Representación de datos alfanuméricos Notas de Clase 2 2 Representación

Más detalles

En una recta numérica el punto que representa el cero recibe el nombre de origen.

En una recta numérica el punto que representa el cero recibe el nombre de origen. 1. Conjuntos numéricos Los conjuntos numéricos con los que has trabajado tanto en Enseñanza Básica como en Enseñanza Media, se van ampliando a medida que se necesita resolver ciertas problemáticas de la

Más detalles

k k N b Sistemas Númericos Sistemas con Notación Posicional (1) Sistemas con Notación Posicional (2) Sistemas Decimal

k k N b Sistemas Númericos Sistemas con Notación Posicional (1) Sistemas con Notación Posicional (2) Sistemas Decimal Sistemas con Notación Posicional (1) Sistemas Númericos N b = a n-1 *b n-1 + a n-2 *b n-2 +... + a 0 *b 0 +a -1 *b - 1 + a -2 *b -2 +... + a -m *b -m Sistemas con Notación Posicional (2) N b : Número en

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I CAPÍTULO III ARITMÉTICA Y CODIFICACIÓN

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I CAPÍTULO III ARITMÉTICA Y CODIFICACIÓN ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I CAPÍTULO III ARITMÉTICA Y CODIFICACIÓN TEMA 3. Aritmética y codificación 3.1 Aritmética binaria 3.2 Formatos de los números y su representación 3.3 Definiciones

Más detalles

La velocidad no lleva a ninguna parte si no se va en la dirección correcta. Proverbio Americano. Punto Flotante

La velocidad no lleva a ninguna parte si no se va en la dirección correcta. Proverbio Americano. Punto Flotante La velocidad no lleva a ninguna parte si no se va en la dirección correcta. Proverbio Americano Punto Flotante Elaborado por Prof. Ricardo González A partir de Materiales de las Profesoras Angela Di Serio

Más detalles

Diseño de Operadores Aritméticos en Punto Flotante usando FPGAs

Diseño de Operadores Aritméticos en Punto Flotante usando FPGAs Diseño de Operadores Aritméticos en Punto Flotante usando FPGAs Gustavo E. Ordóñez-Fernández, Jaime Velasco-Medina, Mario E. Vera-Lizcano Grupo de Bio-Nanoelectrónica, Escuela EIEE Universidad del Valle,

Más detalles

SUMADORES Y COMPARADORES

SUMADORES Y COMPARADORES Universidad Nacional de Quilmes Diplomatura en Ciencia y Tecnología Circuito semisumador de un bit. TÉCNICAS DIGITALES Los circuitos sumadores entregan 2 datos: suma (S) y acarreo (A), y, este circuito

Más detalles

Sistemas Numéricos. Introducción n a los Sistemas Lógicos y Digitales 2009

Sistemas Numéricos. Introducción n a los Sistemas Lógicos y Digitales 2009 Sistemas Numéricos Introducción n a los Sistemas Lógicos y Digitales 2009 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2009 MSB = Most Significative Bit LSB = Less Significative Bit

Más detalles

SUMADOR RESTADOR DE 3 BITS EN BINARIO NATURAL.

SUMADOR RESTADOR DE 3 BITS EN BINARIO NATURAL. SUMADOR RESTADOR DE 3 BITS EN BINARIO NATURAL. Sabemos que a un de n bits, haciéndole un pequeño cambio, lo podemos convertir en y restador. Simplemente se complementan a los bits del sustraendo y además

Más detalles

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles José Ignacio Martínez Torre Ángel Serrano Sánchez de León.

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles José Ignacio Martínez Torre Ángel Serrano Sánchez de León. Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles José Ignacio Martínez Torre Ángel Serrano Sánchez de León Programa 1. Introducción. 2. Operaciones lógicas. 3. Bases de la aritmética

Más detalles

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPÍTULO B. GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CONTENIDO 5.1 Introducción. 5.2 Diseño de un generador digital de tren de pulsos programable

Más detalles

Sistemas de Representación. Organización del Computador 1 Verano 2016

Sistemas de Representación. Organización del Computador 1 Verano 2016 Sistemas de Representación Organización del Computador 1 Verano 2016 Los computadores comprenden el lenguaje de los números La organización de un computador depende (entre otros factores) del sistema de

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Ejercicios Representación de la información

Ejercicios Representación de la información Ejercicios Representación de la información Grupo ARCOS Estructura de Computadores Grado en Ingeniería Informática Universidad Carlos III de Madrid Contenidos 1. Hexadecimal/binario 2. Alfanumérica 3.

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

ARQUITECTURA DE COMPUTADORAS I. Prof. Rosendo Perez Revision 1.6d

ARQUITECTURA DE COMPUTADORAS I. Prof. Rosendo Perez Revision 1.6d ARQUITECTURA DE COMPUTADORAS I Prof. Rosendo Perez Revision 1.6d 1 REPRESENTACION DE LA INFORMACION Codificación de números de punto fijo con y sin signo Números reales (números de punto flotante) Caracteres

Más detalles

UNIDAD 2 Configuración y operación de un sistema de cómputo Representación de datos Conceptos El concepto de bit (abreviatura de binary digit) es fundamental para el almacenamiento de datos Puede representarse

Más detalles

Aritmética Binaria. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid

Aritmética Binaria. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid Aritmética Binaria Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Índice Representación de números con signo Sistemas de Signo y Magnitud, Complemento a

Más detalles

Computación I Sistemas de Numeración. Curso 2015 Facultad de Ingeniería Universidad de la República

Computación I Sistemas de Numeración. Curso 2015 Facultad de Ingeniería Universidad de la República Computación I Sistemas de Numeración Curso 2015 Facultad de Ingeniería Universidad de la República Definición Conjunto de símbolos y reglas sobre ellos, que se utilizan para la representación de cantidades.

Más detalles

TEMA 2. Números racionales. Teoría. Matemáticas

TEMA 2. Números racionales. Teoría. Matemáticas 1 1.- Números racionales Se llama número racional a todo número que puede representarse como el cociente de dos enteros, con denominador distinto de cero. Se representa por Las fracciones también pueden

Más detalles

2. Números naturales: sistema de numeración y operaciones básicas

2. Números naturales: sistema de numeración y operaciones básicas INTRODUCCIÓN A LOS COMPUTADORES 2003-2004 Objetivos Formativos Que el alumno sea capaz de: Comprender el funcionamiento y saber diseñar los circuitos digitales combinacionales y secuenciales que se utilizan

Más detalles