LECCIÓN 1. CIRCUITOS ARITMÉTICOS DE SUMA Y RESTA DE ENTEROS

Tamaño: px
Comenzar la demostración a partir de la página:

Download "LECCIÓN 1. CIRCUITOS ARITMÉTICOS DE SUMA Y RESTA DE ENTEROS"

Transcripción

1 LA UNIDAD ARITMÉTICA Y LÓGICA LECCIÓN 1. CIRCUITOS ARITMÉTICOS DE SUMA Y RESTA DE ENTEROS Departamento de Informática. Curso

2 EL SEMISUMADOR BINARIO S = ab + ba = a b C = ab Departamento de Informática. Curso

3 CIRCUITO DEL SEMISUMADOR BINARIO Departamento de Informática. Curso

4 EL SUMADOR BINARIO COMPLETO S = a b c + a b c + a b c + a b c C = a b c + a b c + a b c + a b c Departamento de Informática. Curso

5 ECUACIONES DEL SUMADOR BINARIO COMPLETO S = c ( a b ) C = a b + c ( a b) Departamento de Informática. Curso

6 OTRO CIRCUITO SUMADOR BINARIO Departamento de Informática. Curso

7 SUMADOR BINARIO PARALELO (CPA) T sumador = N x T bit Departamento de Informática. Curso

8 CIRCUITO DE SUMA Y RESTA A-B = A+(-B) = A+(B +1) = A+B +1 Departamento de Informática. Curso

9 CIRCUITOS SUMADORES RÁPIDOS La causa del retardo es la propagación del acarreo entre etapas. Solución: cálculo anticipado del acarreo Definimos Gi = ai x bi variable generada Pi = ai bi variable propagada Departamento de Informática. Curso

10 ECUACIONES DEL BIT DE CARRY Sustituyendo estas variables en las ecuaciones lógicas del sumador binario tendremos: S i = P i c i C i+1 = a i b i + c i (a i + b i ) = G i + c i P i Departamento de Informática. Curso

11 ECUACIONES DEL BIT DE CARRY Departamento de Informática. Curso

12 CÉLULA SUMADORA RÁPIDA Departamento de Informática. Curso

13 CIRCUITO GENERADOR DE LLEVADAS Departamento de Informática. Curso

14 CIRCUITO GENERADOR DE LLEVADAS Departamento de Informática. Curso

15 CIRCUITO GENERADOR DE LLEVADAS Departamento de Informática. Curso

16 CIRCUITO SUMADOR CLA Departamento de Informática. Curso

17 SUMADORES RÁPIDOS DE 16 BITS Circuito LAC de 16 bits es excesivamente complejo Se buscan soluciones a partir de LAC de 4 bits El problema es la generación anticipada de los carrys c 4, c 8, c 12 y c 16 Departamento de Informática. Curso

18 CIRCUITOS LAC DE GRUPO C 4 = G 3 + P 3 G 2 + P 3 P 2 G 1 + P 3 P 2 P 1 G 0 + P 3 P 2 P 1 P 0 c 0 Llamando G 0G = G 3 + P 3 G 2 + P 3 P 2 G 1 + P 3 P 2 P 1 G 0 P 0G = P 3 P 2 P 1 P 0 Podemos escribir: C 4 = G 0G + P 0G c 0 Departamento de Informática. Curso

19 CIRCUITO SUMADOR RAPIDO DE 16 BITS Generar las funciones G y P para cada bit a partir de a y b y el carry inicial Generar las funciones G y P de grupo a partir de G y P Generar los bits de carry de grupo (c4, c8, c12, c16 ) Generar el resto de las llevadas Generar todos los bits del resultado Departamento de Informática. Curso

20 SUMADOR CON SELECCIÓN DE ARRASTRE Departamento de Informática. Curso

21 SUMADOR CON PUENTEO DE ARRASTRES Departamento de Informática. Curso

22 SUMADORES CONDICIONALES Son una evolución de los sumadores con selección de llevada. Las ecuaciones de las salidas en función del carry entrante son: Departamento de Informática. Curso

23 CELULA DEL SUMADOR CONDICIONAL Departamento de Informática. Curso

24 SUMADOR CONDICIONAL DE 2 BITS Departamento de Informática. Curso

25 SEGUNDA ETAPA DE UN SUMADOR CONDICIONAL DE 4 BITS Departamento de Informática. Curso

26 SUMADOR CONDICIONAL DE 8 BITS Departamento de Informática. Curso

27 TABLA DEL SUMADOR CONDICIONAL Departamento de Informática. Curso

28 SUMADORES MULTIOPERANDO CSA Departamento de Informática. Curso

29 ARBOLES DE WALLACE Departamento de Informática. Curso

30 LA UNIDAD ARITMÉTICA Y LÓGICA LECCIÓN 2. CIRCUITOS ARITMÉTICOS DE MULTIPLICACIÓN DE ENTEROS Departamento de Informática. Curso

31 MULTIPLICACION DE NÚMEROS NATURALES Departamento de Informática. Curso

32 CIRCUITOS NMM Departamento de Informática. Curso

33 CÉLULA ELEMENTAL DEL MULTIPLICADOR Departamento de Informática. Curso

34 MATRIZ SUMADORA Departamento de Informática. Curso

35 MULTIPLICADORES DE 8 BITS Departamento de Informática. Curso

36 MULTIPLICADORES DE 8 BITS Departamento de Informática. Curso

37 HARDWARE PARA ALGORITMOS DE MULTIPLICACIÓN Departamento de Informática. Curso

38 MULTIPLICACIÓN POR SUMA Y DESPLAZAMIENTO Sea la operación 13x11 Departamento de Informática. Curso

39 ALGORITMO DE MULTIPLICACIÓN POR SUMA Y DESPLAZAMIENTO 1. Inicialización: 0 A ; Multiplicando B ; Multiplicador MQ ; N I 2. Analizar bit MQ0 1. Si MQ0 = 0 Ir a 3 2. Si MQ0 = 1 (A) + (B) (A) e ir a 3 3. Desplazar C-A-MQ un bit a la derecha 4. Decrementar I 5. Comprobar I 1. Si I = 0 Terminar 2. Si I 0 Ir a 2 Departamento de Informática. Curso

40 EJEMPLO Departamento de Informática. Curso

41 ALGORITMO DE ROBERTSON Sirve para multiplicar un número positivo y un número negativo Departamento de Informática. Curso

42 ALGORITMO DE ROBERTSON Sólo sirve para el caso de multiplicando positivo y multiplicador negativo. Para los n-1 primeros bits del multiplicador se utiliza el algoritmo anterior. Para el bit de signo del multiplicador se pone el complemento a dos del multiplicando El resultado es un número negativo Departamento de Informática. Curso

43 JUSTIFICACIÓN DEL ALGORITMO DE ROBERTSON Departamento de Informática. Curso

44 REGLA DE LA CADENA Departamento de Informática. Curso

45 MULTIPLICADORES BINARIOS RECODIFICADOS Recodificar el multiplicador para evitar las cadenas de 1 Efectuar la multiplicación tradicional donde el sumando correspondiente es 0, Mcando ó- Mcando en función de que el bit correspondiente del multiplicador sea 0, 1, -1. Tenemos presente siempre la necesidad de extender el signo en los sumandos. Departamento de Informática. Curso

46 ALGORITMO DE BOOTH Departamento de Informática. Curso

47 DIAGRAMA DE FLUJO Departamento de Informática. Curso

48 CASOS ESPECIALES Caso de 1 aislado Solución: No codificar Caso de 0 aislado Solución : Cambiar el 0 por 1 Departamento de Informática. Curso

49 OTRA RECODIFICACIÓN DEL MULTIPLICADOR Departamento de Informática. Curso

50 ALGORITMO DE SOLAPAMIENTO DE TERNAS 1. Inicialización ( Similar a casos anteriores salvo que ahora N/2 I) 2. Analizar el valor numérico de MQ1 MQ0 MQ-1 y actuar como en la tabla precedente 3. Desplazamiento aritmético de A-MQ de 2 bits a la derecha. 4. Decrementar I 5. Si I 0 ir a 2, en otro caso Fin. Departamento de Informática. Curso

51 DIAGRAMA DE FLUJO Departamento de Informática. Curso

52 CIRCUITOS MULTIPLICADORES EN COMPLEMENTO A DOS Departamento de Informática. Curso

53 MULTIPLICACION DE NUMEROS ENTEROS CON SIGNO Departamento de Informática. Curso

54 PLANTEAMIENTO DEL PROBLEMA Departamento de Informática. Curso

55 POSIBLE SOLUCIÓN Departamento de Informática. Curso

56 MULTIPLICADOR DE PEZARIS Departamento de Informática. Curso

57 ALGORITMO DE BAUGH-WOOLEY Departamento de Informática. Curso

58 MULTIPLICADOR DE BAUGH-WOOLEY Departamento de Informática. Curso

59 LA UNIDAD ARITMÉTICA Y LÓGICA LECCIÓN 3. CIRCUITOS ARITMÉTICOS Y ALGORITMOS DE DIVISION DE ENTEROS Departamento de Informática. Curso

60 ALGORITMO DE DIVISIÓN CON RESTAURACIÓN Es el algoritmo de división convencional. Los pasos a seguir son los siguientes: 1. Inicialización: Dividendo MQ ; Divisor B ; N I ; 0 A 2. Desplazamiento de A-MQ a la izquierda 1 bit. 3. Restar A-B A 4. Comprobar si A<0 : 1. Si es cierto Restaurar el dividendo A+ B A 2. Si no es cierto 1 MQ0 5. Decrementar contador I 6. Comprobar si I =0 1. Si es cierto FIN 2. Si no es cierto Ir al paso 2 Al final de la operación tenemos el cociente en MQ y el resto en A. Departamento de Informática. Curso

61 Departamento de Informática. Curso

62 EJEMPLO Departamento de Informática. Curso

63 ALGORITMO DE DIVISIÓN SIN RESTAURACIÓN Es una mejora del algoritmo anterior que se basa en lo siguiente: si seguimos el diagrama de flujo del algoritmo sin restauración a partir del momento en que se comprueba el valor del bit de menor peso del divisor la operación a realizar es : Si A > 0 desplazamos (2ª) y restamos (2A B) Si A < 0 sumamos B (A + B), desplazamos 2(A + B) y restamos B (2A + B) Departamento de Informática. Curso

64 ALGORITMO DE DIVISIÓN SIN RESTAURACIÓN 1. Inicialización: Dividendo MQ ; Divisor B ; N-1 I ; 0 A 2. Desplazamiento a la izquierda de A-MQ 3. Restar A-B A 4. Analizar A: 1. Si A <0 desplaz a la izquierda de A-MQ y sumar A+B A 2. Si A >0 1 MQ0 desplaz a la izquierda de A-MQ y restar A-B A 5. Decrementar el contador I 6. Si I >0 ir a 4 7. Analizar A: 1. Si A <0 sumar A+B A 2. Si A >0 1 MQ0 8. FIN Departamento de Informática. Curso

65 Departamento de Informática. Curso

66 EJEMPLO Departamento de Informática. Curso

67 MÉTODO DE DIVISIÓN POR CONVERGENCIA Departamento de Informática. Curso

68 ELECCIÓN DE LOS VALORES DE R i Departamento de Informática. Curso

69 DIAGRAMA DE FLUJO DEL PROCESO Departamento de Informática. Curso

70 METODO DE DIVISIÓN MEDIANTE EL INVERSO DEL DIVISOR Departamento de Informática. Curso

71 APLICACIÓN DEL MÉTODO DE NEWTON-RAPHSON Departamento de Informática. Curso

72 ELECCIÓN DEL VALOR INICIAL Departamento de Informática. Curso

73 PROCEDIMIENTO DE CALCULO DEL INVERSO Departamento de Informática. Curso

74 CELDA BÁSICA DEL DIVISOR COMBINACIONAL Departamento de Informática. Curso

75 DIVISIÓN COMBINACIONAL Departamento de Informática. Curso

76 LA UNIDAD ARITMÉTICA Y LÓGICA LECCIÓN 4. ARITMÉTICA DE PUNTO FLOTANTE Departamento de Informática. Curso

77 REPRESENTACION BINARIA DE NUMEROS REALES Un número real consta de parte entera y parte fraccionaria y su representación binaria es la siguiente: En la práctica para representar en binario un número real trabajamos por separado con su parte entera y su parte fraccionaria Departamento de Informática. Curso

78 EJEMPLO Sea por ejemplo La parte entera 23 = y la parte fraccionaria la pasamos a binario multiplicando por 2 y quedándonos con la parte fraccionaria:.85 x 2 = x 2 = x 2 = x 2 = x 2 = x 2 = x 2 = 0.80 Luego 0.85 = Por tanto = Departamento de Informática. Curso

79 REPRESENTACION NORMALIZADA. NORMA IEEE-754 En simple precisión la longitud de palabra es de 32 bits Vemos que la mantisa está normalizada de modo que 1 F 2 y que el exponente se almacena en exceso a 127 para evitar tener que usar otro bit de signo Departamento de Informática. Curso

80 REPRESENTACION NORMALIZADA. NORMA IEEE-754 En doble precisión la longitud de palabra es 64 bits Ahora el exponente está en exceso a 1023 y la mantisa está normalizada lo mismo que en el punto anterior Departamento de Informática. Curso

81 REPRESENTACION APROXIMADA DE NUMEROS REALES Rango : Nos da el conjunto de intervalos donde existen números representables, depende del exponente Precisión : Nos da la diferencia entre dos números representables consecutivos, depende del número de bits de la mantisa. El rango y la precisión son conceptos antagónicos pues para mejorar la precisión habría que aumentar la mantisa y por tanto reducir el exponente lo que lleva a una disminución del rango. Departamento de Informática. Curso

82 TIPOS DE NUMEROS REALES Normalizados: 0 < E < Emax 1 1.F < 2 Cero : E = 0 F = 0 (-1)S x 0 existe +0 y 0 E = 255 F =0 (-1)S x existe +infinito y infinito Infinitos No reales ( not a number) E = 255 F >0 Denormales E = 0 F > 0 Departamento de Informática. Curso

83 SUMA Y RESTA DE NÚMEROS EN PUNTO FLOTANTE Alinear mantisas : Tomar el número con menor exponente y desplazar su mantisa a la derecha hasta igualar los exponentes Sumar o restar mantisas Normalizar el resultado si fuera necesario Redondear la mantisa al número de bits apropiado Normalizar si fuera preciso Departamento de Informática. Curso

84 MULTIPLICACION Y DIVISIÓN DE NÚMEROS EN PUNTO FLOTANTE Sumar o restar los exponentes (y restar o sumar el exceso) Multiplicar o dividir las mantisas Normalizar el resultado Redondear la mantisa al número apropiado de bits Normalizar si es preciso Determinar el signo del resultado Departamento de Informática. Curso

85 Departamento de Informática. Curso

86 Departamento de Informática. Curso

en coma flotante Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2006

en coma flotante Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2006 Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2006 4. Representación n de números n en coma flotante Para La números representar fraccionarios números

Más detalles

IDENTIDAD EN PRECISIÓN VARIABLE

IDENTIDAD EN PRECISIÓN VARIABLE Contenido INTRODUCCIÓN 3 Motivación 5 Objetivos 9 Conocimiento actual y problemas abiertos 13 Formulación del problema y propuesta de solución 25 IDENTIDAD EN PRECISIÓN VARIABLE 35 Representación de los

Más detalles

4. Aritmética y operadores

4. Aritmética y operadores Fundamentos de Computadores Ingeniería de Telecomunicación Departamento de Automática Escuela Politécnica Superior Curso académico 2009 2010 Contenidos 1 Aritmética y lógica 2 3 4 Introducción Aritmética

Más detalles

Algoritmos Multiplicación División

Algoritmos Multiplicación División Algoritmos Multiplicación División 1 Algoritmos de Multiplicación Producto = Multiplicando * Multiplicador P : producto R: Multiplicando Q: Multiplicador P = R * Q 2 Algoritmos de Multiplicación Primer

Más detalles

4. Aritmética y operadores

4. Aritmética y operadores 4. Aritmética y operadores Fundamentos de Computadores Ingeniería de Telecomunicación Raúl Durán Díaz Departamento de Automática Escuela Politécnica Superior Curso académico 2009 2010 Raúl Durán Díaz 4.

Más detalles

EJERCICIOS RESUELTOS DEL TEMA 5

EJERCICIOS RESUELTOS DEL TEMA 5 EJERCICIOS RESUELTOS DEL TEMA 5 MULTIPLICACIÓN 1.- Multiplicar los números 27 y -7 utilizando representación binaria en complemento a 2, con el mínimo número posible de bits y empleando el algoritmo apropiado.

Más detalles

Representación de números en binario

Representación de números en binario Representación de números en binario Enteros con signo. Overflow con enteros. Reales con punto flotante. Overflow y underflow con reales. Universidad de Sonora 2 Enteros con signo Método del complemento

Más detalles

LECCIÓN 8: CIRCUITOS Y ALGORITMOS DE MULTIPLICACIÓN DE ENTEROS

LECCIÓN 8: CIRCUITOS Y ALGORITMOS DE MULTIPLICACIÓN DE ENTEROS ESTRUCTURA DE COMPUTADORES Pag. 8.1 LECCIÓN 8: CIRCUITOS Y ALGORITMOS DE MULTIPLICACIÓN DE ENTEROS 1. Circuitos de multiplicación La operación de multiplicar es mas compleja que la suma y por tanto se

Más detalles

Ejercicios Representación de la información

Ejercicios Representación de la información Ejercicios Representación de la información Grupo ARCOS Estructura de Computadores Grado en Ingeniería Informática Universidad Carlos III de Madrid Contenidos 1. Hexadecimal/binario 2. Alfanumérica 3.

Más detalles

Tema 3. La Unidad Aritmético- Lógica

Tema 3. La Unidad Aritmético- Lógica Soluciones a los problemas impares Tema 3. La Unidad Aritmético- Lógica Arquitectura de Computadores I Curso 2009-2010 Tema 3: Hoja: 2 / 26 Tema 3: Hoja: 3 / 26 Base teórica Al diseñar un computador, uno

Más detalles

SUMA DESPLAZAMIENTO. Comprobación: = =216. Multiplicar 12 x 18 (resultado 216)

SUMA DESPLAZAMIENTO. Comprobación: = =216. Multiplicar 12 x 18 (resultado 216) SUMA DESPLAZAMIENTO Multiplicar 12 x 18 (resultado 216) 12 01100 18 10010 R1 R2 C R3 R4 COMENTARIOS 0 1100 10010 0 0000 XXXXX Inicio, contador=0 contador=1 0 0000 0XXXX Desplazamiento 01001 Rotación R2

Más detalles

+18 = 00010010-18 = 10010010

+18 = 00010010-18 = 10010010 Capítulo 8. Aritmética del Procesador Las dos preocupaciones principales de la aritmética de una computadora son la manera en que se representan los números (el formato binario) y los algoritmos utilizados

Más detalles

Unidad Aritmético Lógica. Escuela Superior de Informática Universidad de Castilla-La Mancha

Unidad Aritmético Lógica. Escuela Superior de Informática Universidad de Castilla-La Mancha Unidad Aritmético Lógica Escuela Superior de Informática Universidad de Castilla-La Mancha Contenido Introducción Operaciones lógicas: desplazamientos lógicos Operaciones aritméticas: multiplicación y

Más detalles

Introducción al análisis numérico

Introducción al análisis numérico Introducción al análisis numérico Javier Segura Universidad de Cantabria Cálculo Numérico I. Tema 1 Javier Segura (Universidad de Cantabria) Introducción al análisis numérico CNI 1 / 26 Contenidos: 1 Sistemas

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas FUNDAMENTOS DE SISTEMAS DIGITALES Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas 1 Programa 1. Representación conjunta de números positivos y negativos. 2. Sumadores y restadores. 3. Sumadores

Más detalles

1.- Sistemas de representación

1.- Sistemas de representación Arquitectura Aritméticos.- istemas de representación. (a) Indicar el vector de pesos en un sistema posicional para representación de números naturales, en base r = 6 y cuatro dígitos, n = 4. Dar la respuesta

Más detalles

Números enteros. 1. En una recta horizontal, se toma un punto cualquiera que se señala como cero.

Números enteros. 1. En una recta horizontal, se toma un punto cualquiera que se señala como cero. Números enteros Son el conjunto de números naturales, sus opuestos (negativos) y el cero. Se dividen en tres partes: enteros positivos o números naturales (+1, +2, +3,...), enteros negativos (-1, -2, -3,.)

Más detalles

Bloques Aritméticos - Multiplicadores

Bloques Aritméticos - Multiplicadores Bloques Aritméticos - Multiplicadores La multiplicación es una operación cara (en términos de recursos) y lenta Este hecho ha motivado la integración de unidades completas de multiplicación en los DSPs

Más detalles

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTICO- LÓGICA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTICO- LÓGICA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ ARQUITETURAS DE OMUTADORES 2º URSO INGENIERÍA TÉNIA EN INFORMÁTIA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTIO- LÓGIA JOSÉ GARÍA RODRÍGUEZ JOSÉ ANTONIO SERRA ÉREZ Tema 3. La Unidad entral de roceso. A.L.U. 1 La

Más detalles

+- S x B +-E. Este estándar presupone una representación normalizada. Es decir, los números a representar obedecen a la siguiente forma:

+- S x B +-E. Este estándar presupone una representación normalizada. Es decir, los números a representar obedecen a la siguiente forma: 3.6 Codificación Punto Flotante Esta codificación nace por la necesidad de tener un rango más amplio de representatividad numérica, o cobertura. Los esquemas antes mencionados ofrecen un rango limitado

Más detalles

REPRESENTACION DE LA INFORMACION

REPRESENTACION DE LA INFORMACION ANEXO. Fundamentos Computadores I. Telecomunicación. Primer curso REPRESENTACION DE LA INFORMACION Fundamentos de Computadores. Departamento de Automática Dpto. Automática. Fundamentos de computadores.

Más detalles

Divisores Binarios. D = d *Q + R. con la condición de que el resto sea menor que el divisor, es decir 0 R d.

Divisores Binarios. D = d *Q + R. con la condición de que el resto sea menor que el divisor, es decir 0 R d. Divisores Binarios. La operación de división es algo más compleja que la multiplicación, pero también se realiza en la mayoría de computadores mediante un circuito sumador/restador y algún algoritmo adecuado.

Más detalles

Unidades Aritméticas. Full Adder de un Bit. Sumador/Restador. Full Adder de 32 Bits. Carry Lookahead de 4 Bits. Suma Rápida con Carry Lookahead.

Unidades Aritméticas. Full Adder de un Bit. Sumador/Restador. Full Adder de 32 Bits. Carry Lookahead de 4 Bits. Suma Rápida con Carry Lookahead. Unidades Aritméticas Full Adder de un Bit a i b i a i b i c i s i c i+1 c i+1 s i s i = a i b i c i + a i b i c i + a i b i c i + a i b i c i c i+1 = a i b i + a i c i + b i c i c i 0 0 0 0 0 0 0 1 1 0

Más detalles

Organización de Computadoras. Clase 3

Organización de Computadoras. Clase 3 Organización de Computadoras Clase 3 Temas de Clase Representación de números en Punto Flotante Notas de clase 3 2 Números en punto fijo Todos los números a representar tienen exactamente la misma cantidad

Más detalles

Práctica 1 - Representación de la información

Práctica 1 - Representación de la información Práctica 1 - Representación de la información Organización del Computador 1 Primer Cuatrimestre 2014 Ejercicio 1 a) Utilizando el método del cociente, expresar en bases 2, 3 y 5 los números 33, 100 y 1023.

Más detalles

k k N b Sistemas Númericos Sistemas con Notación Posicional (1) Sistemas con Notación Posicional (2) Sistemas Decimal

k k N b Sistemas Númericos Sistemas con Notación Posicional (1) Sistemas con Notación Posicional (2) Sistemas Decimal Sistemas con Notación Posicional (1) Sistemas Númericos N b = a n-1 *b n-1 + a n-2 *b n-2 +... + a 0 *b 0 +a -1 *b - 1 + a -2 *b -2 +... + a -m *b -m Sistemas con Notación Posicional (2) N b : Número en

Más detalles

Tipos de Datos y Representaciones. Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC.

Tipos de Datos y Representaciones. Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC. Tipos de Datos y Representaciones Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC. Índice 1. Sistemas numéricos posicionales 2. Números octales y hexadecimales 3. Conversiones entre

Más detalles

Representación de la información

Representación de la información Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 1 2 3 4 5 Contenido En el mundo que vivimos estamos rodeados

Más detalles

Diseño de Operadores Aritméticos en Punto Flotante usando FPGAs

Diseño de Operadores Aritméticos en Punto Flotante usando FPGAs Diseño de Operadores Aritméticos en Punto Flotante usando FPGAs Gustavo E. Ordóñez-Fernández, Jaime Velasco-Medina, Mario E. Vera-Lizcano Grupo de Bio-Nanoelectrónica, Escuela EIEE Universidad del Valle,

Más detalles

Circuitos electrónicos digitales

Circuitos electrónicos digitales Circuitos electrónicos digitales Universidad de Sevilla Tema 6 Unidades aritméticas y lógicas Índice Introducción Aritmética binaria Circuitos sumadores básicos Sumador de n bits Sumador/Restador Unidad

Más detalles

La velocidad no lleva a ninguna parte si no se va en la dirección correcta. Proverbio Americano. Punto Flotante

La velocidad no lleva a ninguna parte si no se va en la dirección correcta. Proverbio Americano. Punto Flotante La velocidad no lleva a ninguna parte si no se va en la dirección correcta. Proverbio Americano Punto Flotante Elaborado por Prof. Ricardo González A partir de Materiales de las Profesoras Angela Di Serio

Más detalles

Cómo ejecuta el hardware las operaciones básicas (suma, resta, división y multiplicación).

Cómo ejecuta el hardware las operaciones básicas (suma, resta, división y multiplicación). Objetivos Cómo ejecuta el hardware las operaciones básicas (suma, resta, división y multiplicación). Operaciones con números enteros. Operaciones con números reales. Universidad de Sonora 2 Suma Sumar

Más detalles

22/03/2012. La división es una de las operaciones menos frecuentes. Revisemos la forma de ejecutarla

22/03/2012. La división es una de las operaciones menos frecuentes. Revisemos la forma de ejecutarla Arquitectura de Computadoras Primavera 2012 La división es una de las operaciones menos frecuentes. Revisemos la forma de ejecutarla 1 0 0 1 Cociente Divisor 1 0 0 0 1 0 0 1 0 1 0 Dividendo - 1 0 0 0 1

Más detalles

SESIÓN 1 PRE-ALGEBRA, CONCEPTOS Y OPERACIONES ARITMÉTICAS BÁSICAS

SESIÓN 1 PRE-ALGEBRA, CONCEPTOS Y OPERACIONES ARITMÉTICAS BÁSICAS SESIÓN 1 PRE-ALGEBRA, CONCEPTOS Y OPERACIONES ARITMÉTICAS BÁSICAS I. CONTENIDOS: 1. Introducción: de la aritmética al álgebra. 2. Números reales y recta numérica. 3. Operaciones aritméticas básicas con

Más detalles

Primera versión del Algoritmo y Hardware de la Multiplicación.

Primera versión del Algoritmo y Hardware de la Multiplicación. 3.6 Multiplicación La multiplicación es una operación mas complicada que la suma y que la resta. Para entender como es que el hardware realiza esta operación, con base en la ALU desarrollada, daremos un

Más detalles

Computación I Representación Interna Curso 2017

Computación I Representación Interna Curso 2017 Computación I Representación Interna Curso 2017 Facultad de Ingeniería Universidad de la República Temario Representación de Números Enteros Representación de Punto Fijo Enteros sin signo Binarios puros

Más detalles

Computación I Representación Interna Curso 2011

Computación I Representación Interna Curso 2011 Computación I Representación Interna Curso 2011 Facultad de Ingeniería Universidad de la República Temario Representación de Números Enteros Representación de Punto Fijo Enteros sin signo Binarios puros

Más detalles

Organización de Computadoras 2014. Apunte 2: Sistemas de Numeración: Punto Flotante

Organización de Computadoras 2014. Apunte 2: Sistemas de Numeración: Punto Flotante Organización de Computadoras 2014 Apunte 2: Sistemas de Numeración: Punto Flotante La coma o punto flotante surge de la necesidad de representar números reales y enteros con un rango de representación

Más detalles

ESCUELA POLITÉCNICA NACIONAL

ESCUELA POLITÉCNICA NACIONAL ESCUELA POLITÉCNICA NACIONAL Campus Politécnico "J. Rubén Orellana R." FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Carrera de Ingeniería Electrónica y Control Carrera de Ingeniería Electrónica y Telecomunicaciones

Más detalles

LABORATORIO DE SISTEMAS MICROPROCESADOS PRÁCTICA N 2 ALGORITMOS ADICIONALES. Revisar algunos algoritmos que se emplearan en prácticas futuras.

LABORATORIO DE SISTEMAS MICROPROCESADOS PRÁCTICA N 2 ALGORITMOS ADICIONALES. Revisar algunos algoritmos que se emplearan en prácticas futuras. FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Carrera de Ingeniería Electrónica y Control Carrera de Ingeniería Electrónica y Telecomunicaciones Carrera de Ingeniería Electrónica y Redes de Información

Más detalles

Circuitos Electrónicos Digitales

Circuitos Electrónicos Digitales Circuitos Electrónicos Digitales Universidad de Sevilla 2011-2012 Tema II Representación binaria Índice 1. Sistemas de numeración 2. Códigos binarios 3. Aritmética Binaria 4. Representación de números

Más detalles

Estructura de Computadores Tema 2. Representación de la información

Estructura de Computadores Tema 2. Representación de la información Estructura de Computadores Tema 2. Representación de la información Departamento de Informática Grupo de Arquitectura de Computadores, Comunicaciones y Sistemas UNIVERSIDAD CARLOS III DE MADRID Contenido!

Más detalles

Unidad Aritmético Lógica A.G.O. All Rights Reserved

Unidad Aritmético Lógica A.G.O. All Rights Reserved Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved Introducción Operador aritmético y lógico (uno o varios). El Acumulador. Uno o varios registros temporales. Un banco de registros. Indicadores de

Más detalles

SUMA, RESTA, MULTIPLICACIÓN Y DIVISIÓN DE NÚMEROS NATURALES

SUMA, RESTA, MULTIPLICACIÓN Y DIVISIÓN DE NÚMEROS NATURALES SUMA, RESTA, MULTIPLICACIÓN Y DIVISIÓN DE NÚMEROS NATURALES 1. REPASAMOS LA SUMA Y LA RESTA 1.1. SUMA. La suma o adición consiste en añadir dos números o más para conseguir una cantidad total. Los números

Más detalles

Puertas lógicas NAND, NOR y OR exclusiva Práctica # 10

Puertas lógicas NAND, NOR y OR exclusiva Práctica # 10 Objetivos Puertas lógicas NAND, NOR y OR exclusiva Práctica # 10 Estudiar la operación y uso de las compuertas NAND y NOR Investigar la relación entre las entradas y las salidas de la puerta OR exclusiva

Más detalles

Estructura de Computadores. 1. Ejercicios Resueltos 1.1. Tema 6. La unidad aritmética y lógica

Estructura de Computadores. 1. Ejercicios Resueltos 1.1. Tema 6. La unidad aritmética y lógica Estructura de Computadores Tema 6. La unidad aritmética y lógica Operaciones típicas de la unidad aritmético-lógica. Algoritmos de multiplicación de Robertson y de Booth. Algoritmos de división con y sin

Más detalles

REPRESENTACION DE LA INFORMACION

REPRESENTACION DE LA INFORMACION CAPITULO SEGUNDO. REPRESENTACION DE LA INFORMACION Estructura de Ordenadores. Departamento de Automática Juana Mª López Dpto. Automática. Estructura de computadores. Capítulo 2. Página 1 INTRODUCCION Clasificación

Más detalles

Computación I Representación Interna Curso 2011

Computación I Representación Interna Curso 2011 Computación I Representación Interna Curso 2011 Facultad de Ingeniería Universidad de la República Estándar IEEE 754 Primero se definen tres formatos s e F Total (bits) (bits) (bits) (bytes) simple precisión

Más detalles

INSTITUCION EDUCATIVA LA PRESENTACION

INSTITUCION EDUCATIVA LA PRESENTACION INSTITUCION EDUCATIVA LA PRESENTACION NOMBRE ALUMNA: AREA : MATEMATICAS ASIGNATURA: MATEMATICAS DOCENTE: HUGO HERNAN BEDOYA Y LUIS LOPEZ TIPO DE GUIA: NIVELACION PERIODO GRADO FECHA DURACION 8 A/B Abril

Más detalles

Unidad 1. Números naturales

Unidad 1. Números naturales Unidad 1. Números naturales Matemáticas Múltiplo 1.º ESO / Resumen Unidad 1 NÚMEROS NATURALES USOS QUE TIENEN CÓMO SE EXPRESAN OPERACIONES Contar Ordenar Medir Codificar... Sistema de numeración decimal

Más detalles

POTENCIAS. MÚLTIPLOS Y DIVISORES. MÁXIMO COMÚN DIVISOR Y MÍNIMO COMÚN MÚLTIPLO.

POTENCIAS. MÚLTIPLOS Y DIVISORES. MÁXIMO COMÚN DIVISOR Y MÍNIMO COMÚN MÚLTIPLO. 1. LOS NÚMEROS NATURALES POTENCIAS. MÚLTIPLOS Y DIVISORES. MÁXIMO COMÚN DIVISOR Y MÍNIMO COMÚN MÚLTIPLO. 2. LOS NÚMEROS ENTEROS. VALOR ABSOLUTO DE UN NÚMERO ENTERO. REPRESENTACIÓN GRÁFICA. OPERACIONES.

Más detalles

En este capítulo se habla sobre las distintas arquitecturas y algoritmos de multiplicación rápida que se encontraron en la bibliografía.

En este capítulo se habla sobre las distintas arquitecturas y algoritmos de multiplicación rápida que se encontraron en la bibliografía. Capítulo 4 Algoritmos de multiplicación rápida En este capítulo se habla sobre las distintas arquitecturas y algoritmos de multiplicación rápida que se encontraron en la bibliografía. Los sistemas en un

Más detalles

NOTACIÓN CIENTÍFICA Y CIFRAS SIGNIFICATIVAS. GRM. Física I. Semestre 2014-1

NOTACIÓN CIENTÍFICA Y CIFRAS SIGNIFICATIVAS. GRM. Física I. Semestre 2014-1 NOTACIÓN CIENTÍFICA Y CIFRAS SIGNIFICATIVAS 1 REGLAS DE LOS EXPONENTES Algunos ejemplos: 2 NOTACIÓN CIENTÍFICA Manera compacta de reportar un número muy grande: ej. número de átomos en el cuerpo humano

Más detalles

Tema IV. Unidad aritmético-lógica

Tema IV. Unidad aritmético-lógica Tema IV Unidad aritmético-lógica 4.1 Sumadores binarios 4.1.1 Semisumador binario (SSB) 4.1.2 Sumador binario completo (SBC) 4.1.3 Sumador binario serie 4.1.4 Sumador binario paralelo con propagación del

Más detalles

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

Multiplicación. Multiplicación. Martín Vázquez Arquitectura I - Curso 2013 UNICEN. Notación dot

Multiplicación. Multiplicación. Martín Vázquez Arquitectura I - Curso 2013 UNICEN. Notación dot Multiplicación Martín Vázquez Arquitectura I - Curso 23 UNICEN Multiplicación 2 Multiplicación p b 3.a. 3 b 2.a. 2 b.a. b.a. b x a Notación dot p b 3.a.2 3 b 2.a.2 2 b.a.2 b.a.2 b x a Multiplicación decimal

Más detalles

SISTEMAS DE NUMERACIÓN

SISTEMAS DE NUMERACIÓN SISTEMAS DE NUMERACIÓN INDICE. 1. DEFINICIÓN DE SISTEMAS DE NUMERACIÓN.... 1 2. TEOREMA FUNDAMENTAL DE LA NUMERACIÓN... 3 3. SISTEMAS DECIMAL, BINARIO, OCTAL Y HEXADECIMAL.... 5 3.1. SISTEMA DECIMAL...

Más detalles

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla Circuitos electrónicos digitales Unidades Aritméticas Lógicas Índice Introducción Circuitos sumadores básicos Sumador paralelo de n bits Sumador/Restador Unidad aritmético-lógica (ALU) Introducción Los

Más detalles

Universidad Tecnológica Nacional Facultad Regional Tucumán Ingeniería Electrónica Asignatura: Informática I 1R2 Trabajo Práctico N 1 - Año 2015

Universidad Tecnológica Nacional Facultad Regional Tucumán Ingeniería Electrónica Asignatura: Informática I 1R2 Trabajo Práctico N 1 - Año 2015 Numeración Binaria, Hexadecimal y Octal 1.- Introducción a los números binarios, hexadecimal y octal: El sistema de numeración binario y los códigos digitales son fundamentales en electrónica digital,

Más detalles

LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO)

LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO) LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO) 2. ALGORITMOS UTILIZADOS PARA REALIZAR LAS OPERACIONES BASICAS (SUMA, RESTA, MULTIPLICACION

Más detalles

Recordar las principales operaciones con expresiones algebraicas.

Recordar las principales operaciones con expresiones algebraicas. Capítulo 1 Álgebra Objetivos Recordar las principales operaciones con expresiones algebraicas. 1.1. Números Los números naturales se denotarán por N y están constituidos por 0, 1, 2, 3... Con estos números

Más detalles

TEMA 6 UNIDAD ARITMÉTICO LÓGICA

TEMA 6 UNIDAD ARITMÉTICO LÓGICA FUNDMENTOS DE TEM 6 UNIDD RITMÉTICO LÓGIC. OPERDORES LÓGICOS. 2. PROPIEDDES DE L UL. 3. OPERDORES DE DESPLZMIENTO. Desplazamientos lógicos. Desplazamientos circulares. Desplazamientos aritméticos. 4. OPERCIONES

Más detalles

Aritmética del computador. Departamento de Arquitectura de Computadores

Aritmética del computador. Departamento de Arquitectura de Computadores Aritmética del computador Departamento de Arquitectura de Computadores Contenido La unidad aritmético lógica (ALU) Representación posicional. Sistemas numéricos Representación de números enteros Aritmética

Más detalles

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

Tema 2 Representación de la información

Tema 2 Representación de la información Grupo ARCOS Tema 2 Representación de la información Estructura de Computadores Grado en Ingeniería Informática Contenidos 1. Introducción 1. Motivación y objetivos 2. Sistemas posicionales 2. Representaciones

Más detalles

Organización de computadoras. Clase 9. Universidad Nacional de Quilmes. Lic. Martínez Federico

Organización de computadoras. Clase 9. Universidad Nacional de Quilmes. Lic. Martínez Federico Organización de computadoras Clase 9 Universidad Nacional de Quilmes Lic. Martínez Federico Qué vimos? Números con punto fijo Qué vimos? Números con punto fijo Interpretación Qué vimos? Números con punto

Más detalles

Computación 1. Representación Interna de Números

Computación 1. Representación Interna de Números Computación 1 Representación Interna de Números Contenido Representación de Enteros Sin Signo Representación de Enteros Con Signo con magnitud y signo exceso a M Complemento a 1 Números Enteros Representación

Más detalles

ESCUELA POLITÉCNICA NACIONAL

ESCUELA POLITÉCNICA NACIONAL ESCUELA POLITÉCNICA NACIONAL Campus Politécnico "J. Rubén Orellana R." FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Carrera de Ingeniería Electrónica y Control Carrera de Ingeniería Electrónica y Telecomunicaciones

Más detalles

Representación de los números naturales

Representación de los números naturales Números naturales El conjunto de los números naturales se representa por la letra, y está formado por: N = {0, 1, 2, 3, 4, 5, 6, 7, 8, 9,...} Los números naturales sirven para contar los elementos de un

Más detalles

Se detecta cuando el resultado requiera n+1 bits siendo que la representación solo utiliza n bits.

Se detecta cuando el resultado requiera n+1 bits siendo que la representación solo utiliza n bits. La multiplicación y la división se tratan sin dificultad operando por un lado con las magnitudes y por otro con los signos. Existe la posibilidad de desbordamiento (overflow) en estas operaciones. Se detecta

Más detalles

Universidad Rey Juan Carlos HOJA DE PROBLEMAS TEMA 3: REPRESENTACIÓN DE LA INFORMACIÓN

Universidad Rey Juan Carlos HOJA DE PROBLEMAS TEMA 3: REPRESENTACIÓN DE LA INFORMACIÓN Universidad Rey Juan Carlos Ingeniería Técnica en Informática de Sistemas Estructura y Tecnología de Computadores HOJA DE PROBLEMAS TEMA 3: REPRESENTACIÓN DE LA INFORMACIÓN 1 6. Convertir A05B3D00 dado

Más detalles

6to GRADO. Operaciones con decimales HOJAS DE TRABAJO

6to GRADO. Operaciones con decimales HOJAS DE TRABAJO 6to GRADO Operaciones con decimales HOJAS DE TRABAJO Multiplicar y dividir por potencias de diez Mueve el punto decimal dependiendo de la cantidad de ceros el punto decimal se mueve a la derecha el punto

Más detalles

LOS NÚMEROS DECIMALES DESCOMPOSICIÓN DE NÚMEROS DECIMALES. 28,246 = 2D + 8 U + 2d + 4 c + 6 m 28,246 = 20 + 8 + 0,2 + 0,04 + 0,006

LOS NÚMEROS DECIMALES DESCOMPOSICIÓN DE NÚMEROS DECIMALES. 28,246 = 2D + 8 U + 2d + 4 c + 6 m 28,246 = 20 + 8 + 0,2 + 0,04 + 0,006 LOS NÚMEROS DECIMALES DESCOMPOSICIÓN DE NÚMEROS DECIMALES Los números decimales tienen dos partes separadas por una coma. 28,246 es un número decimal. Parte entera Parte decimal 6º de E. Primaria Decenas

Más detalles

Tema 2. LÓGICA COMBINACIONAL (I): FUNCIONES ARITMÉTICO- LÓGICAS (Tema 5 del libro)

Tema 2. LÓGICA COMBINACIONAL (I): FUNCIONES ARITMÉTICO- LÓGICAS (Tema 5 del libro) Tema 2 LÓGICA COMBINACIONAL (I): FUNCIONES ARITMÉTICO- LÓGICAS (Tema 5 del libro) http://prof.mfbarcell.es 5.1 Representación conjunta de números positivos y negativos Representación conjunta de números

Más detalles

FUNDAMENTOS DE COMPUTADORES ALU

FUNDAMENTOS DE COMPUTADORES ALU Todos los derechos de propiedad intelectual de esta obra pertenecen en exclusiva a la Universidad Europea de Madrid, S.L.U. Queda terminantemente prohibida la reproducción, puesta a disposición del público

Más detalles

Los números naturales

Los números naturales Los números naturales Los números naturales Los números naturales son aquellos que sirven para contar. Se suelen representar utilizando las cifras del 0 al 9. signo suma o resultado Suma: 9 + 12 = 21 sumandos

Más detalles

Aritmética de Enteros y

Aritmética de Enteros y 1 Aritmética de Enteros y Flotantes 2013 Transversal de Programación Básica Proyecto Curricular de Ingeniería de Sistemas 2 1. Introduccion La aritmética de enteros es aritmética modular en complemento

Más detalles

NÚMEROS ENTEROS. En la recta numérica se pueden representar los números naturales, el cero y los números negativos.

NÚMEROS ENTEROS. En la recta numérica se pueden representar los números naturales, el cero y los números negativos. NÚMEROS ENTEROS El conjunto de los números enteros está formado por: Los números positivos (1, 2, 3, 4, 5, ) Los números negativos ( El cero (no tiene signo) Recta numérica En la recta numérica se pueden

Más detalles

Unidad 1 Números. Los números naturales son aquellos que se utilizan para contar los elementos de un conjunto.

Unidad 1 Números. Los números naturales son aquellos que se utilizan para contar los elementos de un conjunto. Unidad 1 Números 1.- Números Naturales Los números naturales son aquellos que se utilizan para contar los elementos de un conjunto. El conjunto de números naturales se representa por la letra N Operaciones

Más detalles

Unidad didáctica 1. Operaciones básicas con números enteros

Unidad didáctica 1. Operaciones básicas con números enteros Unidad didáctica 1 Operaciones básicas con números enteros 1.- Representación y ordenación de números enteros. Para representar números enteros en una recta hay que seguir estos pasos: a) Se dibuja una

Más detalles

Representación de Números

Representación de Números Representación de Números Maximiliano Geier 4/10/2017 Maximiliano Geier Representación de Números 4/10/2017 1 / 21 Cómo se representan los números? Cada número se puede representar de varias maneras. Por

Más detalles

Departamento de Sistemas e Informática. Digital II - ECA. Representación de datos y aritmética básica en sistemas digitales.

Departamento de Sistemas e Informática. Digital II - ECA. Representación de datos y aritmética básica en sistemas digitales. Departamento de Sistemas e Informática Digital II - ECA Representación de datos y aritmética básica en sistemas digitales Rosa Corti 2015 Sistemas de Numeración: Alfabeto: Símbolos utilizados Base: Cantidad

Más detalles

Representación de la información Ejercicios resueltos

Representación de la información Ejercicios resueltos Representación de la información Ejercicios resueltos Ejercicio 1. Indique la representación de los siguientes números, razonando su respuesta: a) -16 en complemento a 2 con 5 bits b) -16 en complemento

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

Sistemas Numéricos y Códigos Binarios

Sistemas Numéricos y Códigos Binarios Sistemas Numéricos y Códigos Binarios Marcelo Guarini Departamento de Ingeniería Eléctrica, 5 de Abril, 5 Sistemas Numéricos en Cualquier Base En el sistema decimal, cualquier número puede representarse

Más detalles

Si dividimos la unidad en 10 partes iguales, cada una de ellas es una décima.

Si dividimos la unidad en 10 partes iguales, cada una de ellas es una décima. NÚMEROS DECIMALES 1. DÉCIMA, CENTÉSIMA Y MILÉSIMA. 1.1. CONCEPTO. Si dividimos la unidad en 10 partes iguales, cada una de ellas es una décima. Si dividimos la unidad en 100 partes iguales, cada una de

Más detalles

Introducción Definición Clasificación Sist. Binario Sist. Octal Sist. Hexa. Arti. Binaria

Introducción Definición Clasificación Sist. Binario Sist. Octal Sist. Hexa. Arti. Binaria Sistemas de Numeración Sistemas Numeración 2009-20102010 Sistemas de Numeración 1 En la historia han existido muchas formas de representar los números. En la actualidad el más extendido es el sistema Decimal

Más detalles

UNIDAD 4. NÚMEROS DECIMALES Y OPERACIONES

UNIDAD 4. NÚMEROS DECIMALES Y OPERACIONES UNIDAD 4. NÚMEROS DECIMALES Y OPERACIONES 1. PARTES DE UN NÚMERO DECIMAL. 2. LECTURA Y ESCRITURA DE DECIMALES. 3. DESCOMPOSICIÓN DE NÚMEROS. DECIMALES Y VALOR RELATIVO DE LAS CIFRAS. 4. COMPARACIÓN Y ORDENACIÓN

Más detalles

Métodos Numéricos. Unidad 1. Teoría de Errores

Métodos Numéricos. Unidad 1. Teoría de Errores Métodos Numéricos Unidad 1. Teoría de Errores Contenido Introducción Error Aproximado y Error Relativo Error Redondeo y de Cifras Significativas Errores de Truncamiento Errores en la Computadora Otros

Más detalles

REPRESENTACIÓN Y ARITMÉTICA. 1. Introducción Representaciones alfanuméricas y numéricas Operador y estructura de la ALU

REPRESENTACIÓN Y ARITMÉTICA. 1. Introducción Representaciones alfanuméricas y numéricas Operador y estructura de la ALU Representación n y Aritmética tica REPRESENTACIÓN Y ARITMÉTICA 1. Introducción Representaciones alfanuméricas y numéricas Operador y estructura de la ALU 2. Representación en coma fija Binario sin signo

Más detalles

ARQUITECTURA DE COMPUTADORAS I. Prof. Rosendo Perez Revision 1.6d

ARQUITECTURA DE COMPUTADORAS I. Prof. Rosendo Perez Revision 1.6d ARQUITECTURA DE COMPUTADORAS I Prof. Rosendo Perez Revision 1.6d 1 REPRESENTACION DE LA INFORMACION Codificación de números de punto fijo con y sin signo Números reales (números de punto flotante) Caracteres

Más detalles

b 1 +a 0 < b ; b=base Forma abreviada: a p-2 ) b Un número se representa por un conjunto de cifras (a i a a 1

b 1 +a 0 < b ; b=base Forma abreviada: a p-2 ) b Un número se representa por un conjunto de cifras (a i a a 1 SISTEMAS DE NUMERACIÓN: La representación de un número en la base b (b>1) utiliza para representar los números un alfabeto formado por el 0 y b-1 símbolos : Un número real N, de p dígitos enteros y q dígitos

Más detalles

OPERACIONES ALGEBRAICAS FUNDAMENTALES

OPERACIONES ALGEBRAICAS FUNDAMENTALES OPERACIONES ALGEBRAICAS FUNDAMENTALES Monomio Un monomio es la representación algebraica más elemental sus componentes son: signo, coeficiente, literal (o literales exponente ( o exponentes, cada literal

Más detalles

2. Representación de la información

2. Representación de la información Informática Ingeniería en Electrónica y Automática Industrial Raúl Durán Díaz Juan Ignacio Pérez Sanz Departamento de Automática Escuela Politécnica Superior Curso académico 2018 2019 Contenidos Números

Más detalles

Los números enteros. > significa "mayor que". Ejemplo: 58 > 12 < significa "menor que". Ejemplo: 3 < 12 Cualquier número positivo siempre es mayor

Los números enteros. > significa mayor que. Ejemplo: 58 > 12 < significa menor que. Ejemplo: 3 < 12 Cualquier número positivo siempre es mayor Los números enteros Los números enteros Los números enteros son aquellos que permiten contar tanto los objetos que se tienen, como los objetos que se deben. Enteros positivos: precedidos por el signo +

Más detalles

Realizar la siguiente suma y expresar el resultado en hexadecimal: Teniendo los 3 valores expresados en la misma base, podemos realizar la suma:

Realizar la siguiente suma y expresar el resultado en hexadecimal: Teniendo los 3 valores expresados en la misma base, podemos realizar la suma: Realizar la siguiente suma y expresar el resultado en hexadecimal: 83/ d + 33/ 4 + 0/ b El primer paso consiste en expresar todos lo valores con la misma base. Para eso convertiremos los dos primeros valores

Más detalles

Unidad Aritmética. A2 A1 A0 + B2 B1 B0 Co S2 S1 S0

Unidad Aritmética. A2 A1 A0 + B2 B1 B0 Co S2 S1 S0 1 Sumador sin signo. Dos números sin signo, con ancho tres. Con A0 y B0 los bits menos significativos. Carry-in, que es una entrada del sumador, igual a cero. A2 A1 A0 + B2 B1 B0 Co S2 S1 S0 2 Sumador

Más detalles

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS Suma y resta binaria Diseño de un sumador Análisis del sumador Análisis de un sumador/restador Suma y resta en BCD Suma y resta en BCD exceso de tres Análisis

Más detalles