PREGUNTAS TIPO. 1) Una con na flecha los conceptos de cada nivel de abstracción en el diseño electrónico. Componentes funcionales interconectados

Tamaño: px
Comenzar la demostración a partir de la página:

Download "PREGUNTAS TIPO. 1) Una con na flecha los conceptos de cada nivel de abstracción en el diseño electrónico. Componentes funcionales interconectados"

Transcripción

1 INGENIERIA ELECTRONICA Cátedra Técnicas Digitales I 3º Año EVALUACION TEORIA 4 TEMA 5A-5B ARITMETICA BINARIA LENGUAJE VHDL TEMA 5A : Lenguaje VHDL PREGUNTAS TIPO 1) Una con na flecha los conceptos de cada nivel de abstracción en el diseño electrónico ARQUITECTURAL FUNCIONAL FÍSICO Materialización a nivel eléctrico y geométrico para una determinada tecnología Algoritmos y funciones que indican la relación E/S Componentes funcionales interconectados 2) Elija la opción que más adecuada al concepto de METODOLOGÍA DE DISEÑO. a) Es el ordenamiento de los procesos que relacionan la complejidad y abstracción por los que atraviesa el diseño de un Sistema Electrónico. b) Es el ordenamiento de los elementos que permiten seleccionar la Tecnología a usar en la construcción de un Sistema Electrónico. c) Es la selección del lenguaje HDL más conveniente para la síntesis final del Sistema Electrónico. 3) Ordene con números, las sig. acciones para un Diseño TOP-DOWN(descendente) - - Definir la estructuración de los distintos niveles jerárquicos. - - Realizar una descomposición jerárquica definiendo nuevas abstracciones e interrelaciones entre las mismas. - - Seleccionar la tecnología. - - Definir el nivel de abstracción inicial. - - Desarrollar la arquitectura necesaria. 4) Ordene con números, las sig. acciones para un Diseño BOTTON-UP (ascendente) - - Definir la estructuración de los distintos niveles jerárquicos. - - Realizar una descomposición jerárquica definiendo nuevas abstracciones e interrelaciones entre las mismas. - - Seleccionar la tecnología. - - Definir el nivel de abstracción inicial. - - Desarrollar la arquitectura necesaria. 5) Un lenguaje HDL es propio de las siguientes consideraciones: a) Es un Lenguaje de alto nivel b) Posee una Sintaxis y semántica adecuada para el modelado y descripción de circuitos electrónicos c) Permite descripciones con distintos niveles de abstracción, precisión y estilos de modelado d) Permite la Simulación global del circuito modelado. e) Todas las anteriores Técnicas Digitales I Evaluación Teórica 4 Tema 5A-5B Página 1 de 5

2 6) Marque la o las opciones que considere correctas en referencia a las características generales de un VHDL. a) Permite la utilización de variados tipos de datos b) No permite el control de flujo c) Permite la estructuración del código. d) No permite el desarrollo y utilización de bibliotecas. e) Ninguna de las anteriores 7) Marque la o las opciones que considere correctas en referencia a las características propias de un VHDL. a) Permite un MODELO DE ESTRUCTURA b) No permite MODELO DE CONCURRENCIA c) Permite un MODELO DE TIEMPO 8) Una con flechas según corresponda. Modelo de Estructura Modelo de Tiempo Modelo de Concurrencia Sentencias PROCESS, WAIT Sentencias ENTITY, ARCHITECTURE Ciclo de Simulación (estímulo-respuesta) 9) Una con flechas según corresponda para las siguientes Unidades de diseño en VHDL ENTITY con una entidad CONFIGURATION (interface) ARQUITECTURE Selecciona la arquitectura que se asocia Define la visión externa de un dispositivo Define la funcionalidad de la entidad 10) Una con flechas según corresponda para los siguientes estilos descriptivos FLUJO DE DATOS Define la funcionalidad del dispositivo mediante un algoritmo ALGORITMICO Define la funcionalidad del dispositivo mediante ecuaciones ESTRUCTURAL Define los componentes interconectados mediante señales. Técnicas Digitales I Evaluación Teórica 4 Tema 5A-5B Página 2 de 5

3 TEMA 5B : Aritmética Binaria 1) Sumador total: a. Realiza la suma aritmética de 3 bits generando 2 bits de salida. b. Realiza la suma lógica de 3 bits generando 2 bits de salida. 2) Indique las ventajas de los diferentes tipos de sumadores: Serie: Paralelo: 3) Indique las desventajas de los diferentes tipos de sumadores: Serie: Paralelo: 4) Escriba la función booleana para determinar la suma y acarreo de dos números binarios. S ( ci, y, x ) = Co (ci, y, x) = 5) Escriba la función booleana para determinar la suma y acarreo de dos números binarios. S ( ci, y, x ) = ( + b a* ) ci Co (ci, y, x) = b a ci a + 6) Responda F Falso o V Verdadero: a. El complemento aritmético a dos y lógico de un número binario son iguales. b. El convenio de signo y complemento facilita la realización de la resta binaria. 7) Responda F Falso o V Verdadero: a. El complemento aritmético a uno y lógico de un número binario son iguales. b. El convenio de signo y valor absoluto facilita la realización de la resta binaria. 8) En la suma aritmética de 2 números de 4 bits: a. Los sucesivos acarreos dependen de los acarreos anteriores. b. Los sucesivos acarreos no dependen de los acarreos anteriores. 9) (2 puntos) Defina los siguientes términos: Acarreo: Overflow: 10) Indique si estos dos términos significan lo mismo o no; y en cada caso definalos: Acarreo = Overflow 11) Las variables G (Generadora de acarreo) y P (Propagadora de acarreo) a.dependen del acarreo de entrada al sumador total. b.no dependen del acarreo de entrada al sumador total. 12) Con el convenio de signo/complemento a uno, restando dos números positivos, tenemos Técnicas Digitales I Evaluación Teórica 4 Tema 5A-5B Página 3 de 5

4 c. a 2 b 2 = a n 1 - b 2 : Resultado correcto más un Overflow d. a 2 b 2 = a n 1 - b 2 : Resultado incorrecto en 1 más un Overflow. e. a 2 b 2 = a n 1 - b 2 : Resultado correcto pues se anula 2 n con 1. f. a 2 b 2 = a n 1 - b 2 : Resultado incorrecto pues 2 n 1 - b 2 es un numero negativo. 13) Con el convenio de signo/complemento a dos, restando dos números positivos, tenemos a. a 2 b 2 = a n - b 2 : Resultado incorrecto más un Overflow b. a 2 b 2 = a n - b 2 : Resultado correcto más un Overflow. c. a 2 b 2 = a n - b 2 : Resultado correcto pues 2 n es la unidad seguida de ceros. d. a 2 b 2 = a n - b 2 : Resultado incorrecto pues 2 n b 2 es un numero negativo 14) Dibuje el Diagrama en bloques de un Generador de Acarreo 15) Dibuje el diagrama en bloques de un Sumador total de 4 bits 16) En un Sumador Total de 4 bits con acarreo serie a. Los acarreos se obtienen de las salidas de acarreo de los sumadores anteriores, por lo tanto el Tiempo de Suma será aproximadamente 4 veces el Tiempo de Suma de cada sumador total. b. Los acarreos se obtienen de las salidas de acarreo de un generador de acarreo, por lo tanto el Tiempo de Suma será aproximadamente el tiempo de retardo del Generador de acarreo más el Tiempo de Suma de un sumador total 17) En un Sumador Total de 4 bits con acarreo paralelo a. Los acarreos se obtienen de las salidas de acarreo de los sumadores anteriores, por lo tanto el Tiempo de Suma será aproximadamente 4 veces el Tiempo de Suma de cada sumador total. b. Los acarreos se obtienen de las salidas de acarreo de un generador de carreo, por lo tanto el Tiempo de Suma será aproximadamente el tiempo de retardo del Generador de acarreo más el Tiempo de Suma de un sumador total 18) Es posible realizar la resta binaria mediante una suma usando el Complemento a 2: a. Sí, pero si se produce acarreo hay que sumárselo al resultado. b. Sí, pero si se produce acarreo hay que despreciarlo. c. No es posible d. Ninguna de las anteriores. 19) Es posible realizar la resta binaria mediante una suma usando el Complemento a 1: a. Sí, pero si se produce acarreo hay que sumárselo al resultado. b. Sí, pero si se produce acarreo hay que despreciarlo. c. No es posible d. Ninguna de las anteriores. 20) Dibuje el diagrama en bloques de un Sumador/Restador de 4 bits usando C2 21) Dibuje el diagrama en bloques de un Sumador/Restador de 4 bits usando C1 22) El rebasamiento (overflow) en los circuitos aritméticos debe tenerse en cuenta? a. Sí, porque es posible que la capacidad del circuito sea superada. b. Sí, pero solamente en la suma c. Sí, pero solamente en la resta. Técnicas Digitales I Evaluación Teórica 4 Tema 5A-5B Página 4 de 5

5 d. No es necesario ya que se puede utilizar el acarreo más significativo para verificar que la capacidad del circuito aritmético no sea superada. e. Ninguna de las anteriores. 23) El complemento a 10 en aritmética decimal a. Tiene la misma interpretación y aplicación que el complemento a 2 en aritmética binaria. b. No es correcto el planteo del punto a). 24) El complemento a 9 en aritmética decimal a. Tiene la misma interpretación y aplicación que el complemento a 1 en aritmética binaria. b. No es correcto el planteo del punto a). o o o O o o o Técnicas Digitales I Evaluación Teórica 4 Tema 5A-5B Página 5 de 5

6. Sumadores y restadores

6. Sumadores y restadores 6. es y restadores Objetivos: Estudio de la tabla de verdad y de las diferentes estructuras internas de un sumador completo. Introducir mejoras en el diseño del sumador completo para reducir su tiempo

Más detalles

ABSTRACCIONES DE UN SISTEMA DIGITAL

ABSTRACCIONES DE UN SISTEMA DIGITAL ABSTRACCIONES DE UN SISTEMA DIGITAL T O P D O W N FUNCIONAL ARQUITECTURAL FÍSICO Algoritmos y funciones que indican la relación E/S Componentes funcionales interconectados que definen la arquitectura Materialización

Más detalles

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS Suma y resta binaria Diseño de un sumador Análisis del sumador Análisis de un sumador/restador Suma y resta en BCD Suma y resta en BCD exceso de tres Análisis

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos del diseño

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL

Más detalles

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL 1.1. Introducción 1.2. Lenguajes para la descripción de hardware 1.3. Ciclo de diseño de los circuitos digitales 1.4. Tecnologías de circuitos integrados

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

Unidad Aritmética. A2 A1 A0 + B2 B1 B0 Co S2 S1 S0

Unidad Aritmética. A2 A1 A0 + B2 B1 B0 Co S2 S1 S0 1 Sumador sin signo. Dos números sin signo, con ancho tres. Con A0 y B0 los bits menos significativos. Carry-in, que es una entrada del sumador, igual a cero. A2 A1 A0 + B2 B1 B0 Co S2 S1 S0 2 Sumador

Más detalles

Sistemas Digitales I

Sistemas Digitales I UNIVERSIDAD INDUSTRIAL DE SANTANDER Sistemas Digitales I Taller No1 Profesor: Carlos A. Fajardo Mayo de 2015 Temas: Representación digital de los Datos, Algebra de Boole, Funciones Lógicas, Introducción

Más detalles

Tema 2. LÓGICA COMBINACIONAL (I): FUNCIONES ARITMÉTICO- LÓGICAS (Tema 5 del libro)

Tema 2. LÓGICA COMBINACIONAL (I): FUNCIONES ARITMÉTICO- LÓGICAS (Tema 5 del libro) Tema 2 LÓGICA COMBINACIONAL (I): FUNCIONES ARITMÉTICO- LÓGICAS (Tema 5 del libro) http://prof.mfbarcell.es 5.1 Representación conjunta de números positivos y negativos Representación conjunta de números

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

IV. Metodologías de diseño de alto nivel

IV. Metodologías de diseño de alto nivel IV. Metodologías de diseño de alto nivel 2. Dominios de descripción (Gajski & Kuhn) 3. Metodología de diseño VHDL 4. Introducción al VHDL 5. Entity, Architecture Ley de Moore (Gordon Moore, 1964) la cantidad

Más detalles

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2)

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) TECNOLOGÍA DE COMPUTADORES Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) Agustín Álvarez Marquina Introducción (I) Causas del avance en el diseño de los sistemas digitales en los últimos

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO DISEÑO DE SISTEMAS DIGITALES 1551 5 o 11 Asignatura Clave Semestre Créditos Ingeniería Eléctrica Ingeniería en Computación

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

Fundamentos de los Computadores Grado en Ingeniería Informática

Fundamentos de los Computadores Grado en Ingeniería Informática 3.1 Circuitos aritmético-lógicos Fundamentos de los Computadores Grado en Ingeniería Informática Introducción La realización de operaciones aritméticas y lógicas es una de las principales i razones de

Más detalles

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 1: Introducción al lenguaje VHDL S1 1 AREAS DE APLICACION DE VHDL Síntesis i1 suma Documentación Diagnosis de Fallos i1 i2 - Circuito semisumador Componentes: puerta AND puerta OR puerta XOR......

Más detalles

Figura 1: Suma binaria

Figura 1: Suma binaria ARITMÉTICA Y CIRCUITOS BINARIOS Los circuitos binarios que pueden implementar las operaciones de la aritmética binaria (suma, resta, multiplicación, división) se realizan con circuitos lógicos combinacionales

Más detalles

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA NOMBRE DE LA ASIGNATURA: BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA PROGRAMA DE ESTUDIOS DE LA MAESTRÍA EN INGENIERÍA ELECTRÓNICA CON OPCIÓN EN INSTRUMENTACIÓN DIGITAL

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2018

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2018 Trabajo Práctico - Junio 2018 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de las soluciones propuestas

Más detalles

Diseño de Circuitos Integrados de Alta Escala Curso Académico 2009/2010

Diseño de Circuitos Integrados de Alta Escala Curso Académico 2009/2010 Diseño de Circuitos Integrados de Alta Escala Curso Académico 2009/2010 Programa de la Asignatura: 1. Introducción al Diseño de Circuitos Integrados en Alta Escala. 1.1. Complejidad 1.2. Modularidad 1.3.

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3 ELECTRÓNICA DIGITAL Ejercicios propuestos Tema Ejercicio. Convertir a binario natural, los siguientes números expresados en formato decimal. Puedes predecir a priori los bits que necesitarás para la representación

Más detalles

Sesión 6: Diseño Lógico con VHDL

Sesión 6: Diseño Lógico con VHDL Sesión 6: Diseño Lógico con VHDL S6 VHDL: de la tecnología a la arquitectura de computadores. José J. Ruz Ortiz, Síntesis 997 Estilos de descripción de un circuito lógico S6 2 VHDL: de la tecnología a

Más detalles

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

4.4. Métodos de diseño

4.4. Métodos de diseño 4.4. Métodos de diseño 4.4.1. Estilo estructural Es la descripción estructural en la que se descompone en los componentes del sistema y se indican sus interconexiones. Cada subcircuito se denomina component.

Más detalles

GUÍA DOCENTE ELECTRÓNICA DIGITAL GRADO EN INGENIERÍA ELECTRÓNICA INDUSTRIAL Y AUTOMÁTICA

GUÍA DOCENTE ELECTRÓNICA DIGITAL GRADO EN INGENIERÍA ELECTRÓNICA INDUSTRIAL Y AUTOMÁTICA GUÍA DOCENTE 2014-2015 ELECTRÓNICA DIGITAL 1. Denominación de la asignatura: ELECTRÓNICA DIGITAL Titulación GRADO EN INGENIERÍA ELECTRÓNICA INDUSTRIAL Y AUTOMÁTICA Código 6413 2. Materia o módulo a la

Más detalles

Tema IV. Unidad aritmético-lógica

Tema IV. Unidad aritmético-lógica Tema IV Unidad aritmético-lógica 4.1 Sumadores binarios 4.1.1 Semisumador binario (SSB) 4.1.2 Sumador binario completo (SBC) 4.1.3 Sumador binario serie 4.1.4 Sumador binario paralelo con propagación del

Más detalles

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37 ÍNDICE LISTA DE FIGURAS... 7 LISTA DE TABLAS... 11 CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN... 13 1.1. REPRESENTACIÓN DE LA INFORMACIÓN... 15 1.2. SISTEMAS DE NUMERACIÓN BINARIO NATURAL Y HEXADECIMAL... 18 1.3.

Más detalles

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select. Sumadores En electrónica un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan

Más detalles

4.5. Procedimiento de síntesis

4.5. Procedimiento de síntesis 4.5. Procedimiento de síntesis En este apartado se resumen los pasos a seguir para completar la implementación de un sistema digital en un dispositivo programable: descripción del sistema mediante uno

Más detalles

METODOLOGÍA PARA EL APRENDIZAJE DE SISTEMAS ELECTRÓNICOS DIGITALES Y SU DISEÑO

METODOLOGÍA PARA EL APRENDIZAJE DE SISTEMAS ELECTRÓNICOS DIGITALES Y SU DISEÑO METODOLOGÍA PARA EL APRENDIZAJE DE SISTEMAS ELECTRÓNICOS DIGITALES Y SU DISEÑO E. Magdaleno, M. Rodríguez, A. Ayala, B. R. Mendoza y S. Rodríguez. Universidad de La Laguna emagcas@ull.es, mrvalido@ull.es,

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones

Más detalles

Tema 3: Operaciones aritméticas y lógicas

Tema 3: Operaciones aritméticas y lógicas Tema 3: Operaciones aritméticas y lógicas S Suma-resta en base dos S Operaciones lógicas: OR, AND, XOR y NOT S Operaciones de desplazamiento S Suma-resta en los diferentes sistemas de representación de

Más detalles

Subsistemas aritméticos y lógicos. Tema 10

Subsistemas aritméticos y lógicos. Tema 10 Subsistemas aritméticos y lógicos Tema 10 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador / Restador Sumador BCD Diseño de

Más detalles

PRÁCTICA 1b: SUMA Y RESTA BINARIA

PRÁCTICA 1b: SUMA Y RESTA BINARIA DEPARTAMENTO DE AUTOMÁTICA UAH GRADO EN INGENIERÍA INFORMÁTICA OBJETIVOS PRÁCTICA 1b: SUMA Y RESTA BINARIA ü ü Iniciar y familiarizar al alumno con las operaciones básicas (suma y resta) con números binarios.

Más detalles

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla Circuitos electrónicos digitales Unidades Aritméticas Lógicas Índice Introducción Circuitos sumadores básicos Sumador paralelo de n bits Sumador/Restador Unidad aritmético-lógica (ALU) Introducción Los

Más detalles

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Lógicos Combinatorios Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Combinatorios Un circuito combinatorio es un arreglo de compuertas lógicas con un conjunto de entradas y salidas.

Más detalles

PLANIFICACIÓN DOCENTE DISEÑO Y SIMULACIÓN DE CIRCUITOS ELECTRÓNICOS ASISTIDO POR COMPUTADOR

PLANIFICACIÓN DOCENTE DISEÑO Y SIMULACIÓN DE CIRCUITOS ELECTRÓNICOS ASISTIDO POR COMPUTADOR PLANIFICACIÓN DOCENTE DISEÑO Y SIMULACIÓN DE CIRCUITOS ELECTRÓNICOS ASISTIDO POR COMPUTADOR Departamento/área Departamento de I. eléctrica, electrónica, automática y comunicaciones./ Tecnología eléctrica

Más detalles

-5.2 SUMADOR CON MULTIPLES SUMANDOS.

-5.2 SUMADOR CON MULTIPLES SUMANDOS. -5.2 SUMADOR CON MULTIPLES SUMANDOS. Sumador con acarreo almacenado. Este sumador también llamado Carry Save Adder (CSA) nos permitirá realizar la suma de N sumandos en un tiempo mínimo. Para estudiar

Más detalles

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5 Planificaciones 8641 - Sistemas Digitales Docente responsable: ALVAREZ NICOLAS 1 de 5 OBJETIVOS El objetivo de la materia es aprender a diseñar arquitecturas digitales utilizando como herramienta los lenguajes

Más detalles

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017 LABORATORIO DE SISTEMAS DIGITALES PRÁCTICA No. 8 Fecha: 03/07/2017-07/07/2017 Escuela Politécnica nacional Tema: FLIP-FLOPS 1. Objetivos: Familiarizar al estudiante con la utilización y funcionamiento

Más detalles

INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS

INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS Luis Entrena Arrontes Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso 1 Índice 1.1 Los circuitos integrados. Ventajas e inconvenientes

Más detalles

Se detecta cuando el resultado requiera n+1 bits siendo que la representación solo utiliza n bits.

Se detecta cuando el resultado requiera n+1 bits siendo que la representación solo utiliza n bits. La multiplicación y la división se tratan sin dificultad operando por un lado con las magnitudes y por otro con los signos. Existe la posibilidad de desbordamiento (overflow) en estas operaciones. Se detecta

Más detalles

Ordenador. Algunas «vistas» del ordenador

Ordenador. Algunas «vistas» del ordenador Ordenador Sistema complejo que puede describirse mediante diferentes modelos en distintos niveles de abstracción c 2005 DIT-ETSIT- Fundamentos de ordenadores: Modelos y niveles transp. 1 Algunas «vistas»

Más detalles

Organización de Computadoras. Clase 2

Organización de Computadoras. Clase 2 Organización de Computadoras Clase 2 Temas de Clase Representación de datos Números con signo Operaciones aritméticas Banderas de condición Representación de datos alfanuméricos Notas de Clase 2 2 Representación

Más detalles

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

PRUEBA DE ENTRADA. 1. A partir de la tabla de verdad, elabore el circuito correspondiente: (2 ptos.)

PRUEBA DE ENTRADA. 1. A partir de la tabla de verdad, elabore el circuito correspondiente: (2 ptos.) CÓDIGO : LAB. Nº: 1 HORARIO: H-441 1. A partir de la tabla de verdad, elabore el circuito correspondiente: (2 ptos.) 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 0 2. Indique la veracidad

Más detalles

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos Fundamentos de Computadores Tema 5 Circuitos Aritméticos OBJETIVOS Conceptuales: Suma y resta binaria Implementaciones hardware/software Circuito sumador y semi-sumador básico Sumadores/restadores de n

Más detalles

Departamento de Sistemas e Informática. Digital II - ECA. Representación de datos y aritmética básica en sistemas digitales.

Departamento de Sistemas e Informática. Digital II - ECA. Representación de datos y aritmética básica en sistemas digitales. Departamento de Sistemas e Informática Digital II - ECA Representación de datos y aritmética básica en sistemas digitales Rosa Corti 2015 Sistemas de Numeración: Alfabeto: Símbolos utilizados Base: Cantidad

Más detalles

4. Aritmética y operadores

4. Aritmética y operadores Fundamentos de Computadores Ingeniería de Telecomunicación Departamento de Automática Escuela Politécnica Superior Curso académico 2009 2010 Contenidos 1 Aritmética y lógica 2 3 4 Introducción Aritmética

Más detalles

PROYECTO DOCENTE ASIGNATURA: "Electrónica Digital"

PROYECTO DOCENTE ASIGNATURA: Electrónica Digital PROYECTO DOCENTE ASIGNATURA: "Electrónica Digital" Grupo: Grupo 1(959067) Titulacion: Grado en Ingeniería Electrónica, Robótica y Mecatrónica (UMA-US) Curso: 2017-2018 DATOS BÁSICOS DE LA ASIGNATURA/GRUPO

Más detalles

ELDI-K4O10 - Electrónica Digital

ELDI-K4O10 - Electrónica Digital Unidad responsable: Unidad que imparte: Curso: Titulación: Créditos ECTS: 2016 340 - EPSEVG - Escuela Politécnica Superior de Ingeniería de Vilanova i la Geltrú 710 - EEL - Departamento de Ingeniería Electrónica

Más detalles

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras 1121025 Arquitecaura de Computadoras - Introducción a la Arquitectura de Computadoras Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma

Más detalles

4. Aritmética y operadores

4. Aritmética y operadores 4. Aritmética y operadores Fundamentos de Computadores Ingeniería de Telecomunicación Raúl Durán Díaz Departamento de Automática Escuela Politécnica Superior Curso académico 2009 2010 Raúl Durán Díaz 4.

Más detalles

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

PRACTICA 3. Lenguaje de descripción de hardware VHDL. Lenguaje de descripción de hardware VHDL. Objetivo: El alumno analizara de que partes escenciales conta un codigo hecho atravez del lenguaje de descripcion de hardware VHDL, asi tambien que implica la

Más detalles

SISTEMAS DIGITALES 3ER EXAMEN. Pendiente Revisión

SISTEMAS DIGITALES 3ER EXAMEN. Pendiente Revisión Autor: ORLANDO HEREDIA (Pendiente Revisión) 1 / 6 SISTEMAS DIGITALES 3ER EXAMEN Pendiente Revisión 1. Represente los siguientes números decimales con signo en: a) Sistema complemento a dos de 5 bits b)

Más detalles

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES.

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. Sumadores básicos: Los sumadores son muy importantes no solamente en las computadoras, sino en muchos pos de sistemas digitales en los que se

Más detalles

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje VHDL Objetos, tipos de datos y operadores Unidades

Más detalles

Certamen 1 Arquitectura de Computadores

Certamen 1 Arquitectura de Computadores Certamen 1 Arquitectura de Computadores Prof. Rodrigo Araya Ehrenfeld Viernes 28 de Abril 2006 Tiempo: 90 Minutos No se pueden utilizar apuntes ni calculadora. 1. Pregunta 1 (20 %) 1. Explique cuál es

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO:

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: ELECTRONICA ACADEMIA A LA QUE SISTEMAS DIGITALES AVANZADOS PERTENECE: NOMBRE DE LA MATERIA: DISEÑO DIGITAL ASISTIDO POR COMPUTADORA CLAVE DE LA MATERIA:

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

Lenguajes de Descripción de Hardware

Lenguajes de Descripción de Hardware Lenguajes de Descripción de Hardware Los lenguajes de descripción de Hardware (HDLS) son utilizados para describir la arquitectura y comportamiento de un sistema electrónico. VHDL VHDL, viene de VHSIC

Más detalles

A (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0

A (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0 Fundamentos de lógica digital. VHDL Declaración de entidades utilizando vectores El acomodo de los bits vector que componen un bus puede ser ordenado de forma ascendente o descendente, por ejemplo para

Más detalles

Lógica Computacional. Aritmética binaria

Lógica Computacional. Aritmética binaria Lógica Computacional Aritmética binaria Aritmética binaria - Suma Para sumar dos (o más) números en sistema binario seguimos el mismo procedimiento que para sistema decimal, teniendo en cuenta que: 1 +

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Estructura y Tecnología de Computadores II (Gestión y Sistemas)

Estructura y Tecnología de Computadores II (Gestión y Sistemas) Temario Estructura y Tecnología de Computadores II (Gestión y Sistemas) Contenido del temario El temario propuesto en la asignatura coíncide con los siguientes apartados del texto base teórico Estructura

Más detalles

CONTENIDO Capitulo 2. DE CONMUTACION

CONTENIDO Capitulo 2. DE CONMUTACION CONTENIDO 1.1. Introduccion 1 1.2. Postulados del Algebra de Boole 5 1.3. Algebra de Boole de dos elementos... 7 1.4. Dualidad 8 1.5. Teoremas del Algebra de Boole 8 1.6. La teoria de conjuntos como un

Más detalles

LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO)

LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO) LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO) 2. ALGORITMOS UTILIZADOS PARA REALIZAR LAS OPERACIONES BASICAS (SUMA, RESTA, MULTIPLICACION

Más detalles

Electrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3)

Electrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3) Capítulo 1: Circuitos Digitales Circuitos combinacionales (2/3) Índice Introducción Circuitos combinacionales Multiplexores Demultiplexores Decodificadores No excitadores/excitadores Codificadores Con

Más detalles

Problema Nº 1.a2.- Obtenga las siguientes conversiones numéricas. Problema Nº 1.a3.- Obtenga las siguientes conversiones numéricas. 9E36.

Problema Nº 1.a2.- Obtenga las siguientes conversiones numéricas. Problema Nº 1.a3.- Obtenga las siguientes conversiones numéricas. 9E36. Universidad Simón Bolivar EC173 Circuitos Digitales Trimestre: Septiembre_DIC_ 5 PROBLEMARIO Nº 1.- 1.a.- Problemas sistemas númericos Problema Nº 1.a1.- 0. =?. =? ( c) 67.4 =? d 15 C.3 =? Problema Nº

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2017

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2017 Trabajo Práctico - Junio 2017 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de las soluciones propuestas

Más detalles

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Se emplean para: Transformación de datos Operaciones aritméticas (suma, resta...)

Más detalles

SOLUCIÓN TIPO TEST 1 Y 2 (CORRECTA 0,5 PUNTOS, ERRÓNEA, -0,25 PUNTOS) TIPO TEST 3 Y 4 (CORRECTA 1,0 PUNTO, ERRÓNEA, -0,5 PUNTOS)

SOLUCIÓN TIPO TEST 1 Y 2 (CORRECTA 0,5 PUNTOS, ERRÓNEA, -0,25 PUNTOS) TIPO TEST 3 Y 4 (CORRECTA 1,0 PUNTO, ERRÓNEA, -0,5 PUNTOS) TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN FINAL. 16 JUNIO 2003 SOLUCIÓN TIPO TEST 1 Y 2 (CORRECTA 0,5 PUNTOS, ERRÓNEA, -0,25 PUNTOS) TIPO TEST 3 Y 4 (CORRECTA 1,0 PUNTO, ERRÓNEA, -0,5 PUNTOS)

Más detalles

SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS

SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS ENRIQUE MANDADO 1,2, YAGO MANDADO 3, GIRALDO VALDÉS 2,4 y LUIS M. MENÉNDEZ 2,5 1 Departamento

Más detalles

Ingeniería Técnica de Telecomunicación (Sistemas Electrónicos) Microelectrónica II

Ingeniería Técnica de Telecomunicación (Sistemas Electrónicos) Microelectrónica II Ingeniería Técnica de Telecomunicación (Sistemas Electrónicos) Microelectrónica II Práctica 1: Transformada de Hadamard. La transformada de Hadamard tiene numerosos campos de aplicación en el procesado

Más detalles

TOTAL DE HORAS: Semanas de clase: 5 Teóricas: 3 Prácticas: 2. SERIACIÓN OBLIGATORIA ANTECEDENTE: Ninguna SERIACIÓN OBLIGATORIA SUBSECUENTE: Ninguna

TOTAL DE HORAS: Semanas de clase: 5 Teóricas: 3 Prácticas: 2. SERIACIÓN OBLIGATORIA ANTECEDENTE: Ninguna SERIACIÓN OBLIGATORIA SUBSECUENTE: Ninguna UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉICO FACULTAD DE ESTUDIOS SUPERIORES CUAUTITLÁN LICENCIATURA: INGENIERIA EN TELECOMUNICACIONES, SISTEMAS Y ELECTRONICA DENOMINACIÓN DE LA ASIGNATURA: Dispositivos Lógicos

Más detalles

DISEÑO DIGITAL CON VHDL.

DISEÑO DIGITAL CON VHDL. Planeación 2014 Ingeniería Electrónica 1 DISEÑO DIGITAL CON VHDL. CICLO: AGOSTO - DICIEMBRE 2014 Ing. Miguel Angel Mendoza Mendoza Ingeniero en Electrónica. miguel.angel.ing.1212@gmail.com. Planeación

Más detalles

Introducción a la Electrónica Digital

Introducción a la Electrónica Digital Introducción a la Electrónica Digital El objetivo de la electrónica es la fabricación de circuitos que realicen una amplia gama de operaciones. Los circuitos también podrían realizarse en otras tecnologías

Más detalles

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales.

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales. Código Concurrente Código Concurrente El código VHDL puede ser concurrente o secuencial. Únicamente las sentencias colocadas dentro de un PROCESS, FUNCTION o PROCEDURE (los últimos dos son llamados subprogramas)

Más detalles

Síntesis arquitectónica y de alto nivel

Síntesis arquitectónica y de alto nivel Síntesis arquitectónica y de alto nivel Módulo 1. Concepto y fases de la Síntesis de Alto Nivel 1 Diseño de circuitos: la complejidad Tratamiento de problemas de complejidad creciente Rápido desarrollo

Más detalles

Sistemas Digitales. Guía 05 UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA. I. Objetivos. II. Introducción Teórica

Sistemas Digitales. Guía 05 UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA. I. Objetivos. II. Introducción Teórica UNIVERSIDAD DON BOSCO FACUTAD DE ESTUDIOS TECNOÓGICOS ESCUEA DE EECTRONICA CICO: 0-203 Guía de laboratorio Nº5 Nombre de la práctica: Aplicaciones de las compuertas lógicas ugar de ejecución: aboratorio

Más detalles

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo.

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo. 1 Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. El texto está orientado a un primer curso de diseño lógico en programas de estudios de ingenieros eléctricos, electrónicos, telemáticos y de ciencias

Más detalles

1. REPRESENTACION DE DATOS

1. REPRESENTACION DE DATOS 1. REPRESENTACION DE DATOS 1.1 INFORMACION Y DATOS. Un programa consiste, esencialmente, de dos partes: la descripción de las acciones que realizará el proceso representado y la descripción de los datos

Más detalles

UNIDAD ARITMETICO-LOGICA. Conceptos. 1. Sumadores y restadores. Semisumador:

UNIDAD ARITMETICO-LOGICA. Conceptos. 1. Sumadores y restadores. Semisumador: UNIDAD ARITMETICO-LOGICA Conceptos Unidad aritmético-lógica: Elemento que realiza las operaciones aritméticas y lógicas entre los datos Operaciones típicas Sumar Restar Multiplicar Desplazamiento de registros

Más detalles

Introducción al CAD-EDA. Evolución del diseño electrónico Modelado de sistemas Lenguajes de descripción de hardware Metodologías y flujos de diseño

Introducción al CAD-EDA. Evolución del diseño electrónico Modelado de sistemas Lenguajes de descripción de hardware Metodologías y flujos de diseño Introducción al CAD-EDA Evolución del diseño electrónico Modelado de sistemas Lenguajes de descripción de hardware Metodologías y flujos de diseño EVOLUCIÓN DEL DISEÑO ELECTRÓNICO. Años 70: Tecnologías

Más detalles

1.Describe las diferencias entre lo que se considera sociedad de la información y sociedad del conocimiento.

1.Describe las diferencias entre lo que se considera sociedad de la información y sociedad del conocimiento. IES FEDERICO BALART CURSO ESCOLAR: 2016/17 AREA/MATERIA: Tecnología de la Información y la Comunicación I (LOMCE) (08,00,07,50,01,21,20,02,51,90,40,30) CURSO: 1º ETAPA: Bachillerato de Ciencias Plan General

Más detalles