EN ESTE CIRCUITO NO HAY FORMA DE QUE LA SALIDA Q VUELVA A VALOR CERO 0, SIEMPRE QUEDA EN UNO 1

Tamaño: px
Comenzar la demostración a partir de la página:

Download "EN ESTE CIRCUITO NO HAY FORMA DE QUE LA SALIDA Q VUELVA A VALOR CERO 0, SIEMPRE QUEDA EN UNO 1"

Transcripción

1 FF PINCIPIO t t pd t+ = ( + ) t Futuro Presente EN ETE CICUITO NO HAY FOMA DE UE LA ALIDA VUELVA A VALO CEO, IEMPE UEDA EN UNO. POPONEMO EL IGUIENTE CICUITO EL CUAL PEMITE UE LA ALIDA VAYA A CEO

2 MEMOIA CON BOADO`-BIETABLE t Pres Fut N O t+ t NO N O

3 BIETABLE NAND APLICACION Pres Fut t+ Vcc NO t BIETABLE INCONICO t Pres t+ Fut t+ t NO

4 BIETABLE- TIMING BIETABLE INCONICO BIETABLE D INCONICO - LATCH D () t Pres t+ Fut t t+ () D t+ t NO D

5 LATCH D CON MUX A B Z Control Z A B Z = Control. B + Control. A Control LATCH POITIVO LATCH NEGATIVO D =, mem =, = D D =, =D =, :mem

6 LATCH CON TG Implementacion de un Latch con inversores y TG (Transmision Gate) Dato L L2 Valor memorizado Como se observa ambas llaves trabajan en contraposición (L: on, L2: off). i L esta cerrada el dato de entrada se repite a la salida (L2: off), cuando se abre L y se cierra L2 el Dato queda memorizado En el dibujo que sigue observamos el diseño de un Latch con TG

7 FLIP-FLOP INCONIZADO PO ELOJ La mayoria dispara por flanco (borde) y el símbolo que los identifica es ENTADA AINCONA ENTADA AINCONA Flanco Positivo Flanco Negativo Tiempos de establecimiento y retención (etup, Hold Time) Entrada síncrona ts th Establecimiento etencion

8 Biestable disparado por flanco (Edge Triggered) CONFOMADO DETECTO DE FLANCO C k Circuito conformador/detector de flancos C k C k C k tpd C k FLANCO POITIVO FLANCO NEGATIVO

9 Toggle Flip Flop DT DT: Detector de Flanco C k alida Presente t alida Futura t Diagrama temporal Toggle FF

10 FLIP FLOP D y JK FF D D DT C k Entrada Presente D alida Futura t+ FF JK DT J K C k Entrada Presente J K alida Futura t+ t t (negado)

11 FF D vs LATCH D o D D ---- o ---- FF LATCH

12 FF - T T DT C k Entrada Presente T alida Futura t+ t t (negado) DT J K C k ENTADA AINCONA Entradas Asincronas Entrada Presente J K x x alida Futura t+ t t (negado) x x x x No permitido

13 CONDICIONE INICIALE ACTIVACION PO BAJO ACTIVACION PO ALTO Vcc Vcc J K C J K C Vc Vr Vcc ENCENDIDO Vcc t t Vc t a Vr t a Vt Vt t t

14 Vcc Vcc TABLA Y DIAGAMA DE ETADO FFJK TV Entrada Presente alida Futura J K t+ t t (negado) ECUACION CAACTEITICA J K t t+ t + = Jt + Kt ETADO PEENTE t TABLA DE ETADO ETADO FUTUO t + ENTADA X J K DIAGAMA DE ETADO O DIAGAMA DE TANICIONE X X X

15 CODIGO VHDL LATCH D LIBAY ieee; UE ieee.stdlogic64.all ; ENTITY latch I POT ( D, Clk : IN TDLOGIC ; : OUT TDLOGIC ) ; END latch ; ACHITECTUE Behavior OF latch I BEGIN POCE (D, Clk) BEGIN IF Clk = THEN <= D ; END IF, END POCE ; END Behavior ; i Clk=, no se especifica el valor de, y retiene el valor actual Código para un latch D

16 CODIGO VHDL FF D LIBAY ieee; UE ieee.stdlogic64.all ; ENTITY flipflop I POT ( D, Clock : IN TDLOGIC ; : OUT TDLOGIC ) ; END flipflop ; ACHITECTUE Behavior OF flipflop I BEGIN POCE ( Clock) BEGIN IF Clock EVENT AND Clock = THEN (flanco positivo) <= D ; END IF, END POCE ; END Behavior ;

17 CODIGO VHDL FF D (alternativo) LIBAY ieee; UE ieee.stdlogic64.all ; ENTITY flipflop I POT ( D, Clock : IN TDLOGIC ; : OUT TDLOGIC ) ; END flipflop ; ACHITECTUE Behavior OF flipflop I BEGIN POCE BEGIN WAIT UNTIL Clock EVENT AND Clock = ; <= D ; END POCE ; END Behavior ;

18 CODIGO VHDL FFD CON CLEA AINCONICO e describe un FFD con una entrada reset (clear) asíncrono que se activa por nivel bajo. Cuando esetn, la entrada reset es igual a y la salida del FF va a (=) LIBAY ieee; UE ieee.stdlogic64.all ; ENTITY flipflop I POT ( D, esetn, Clock : IN TDLOGIC ; : OUT TDLOGIC ) ; END flipflop ; ACHITECTUE Behavior OF flipflop I BEGIN POCE ( esetn, Clock) BEGIN IF esetn = THEN <= ; ELIF Clock`EVENT AND Clock = THEN <= D ; END IF ; END POCE ; END Behavior ;

19 CODIGO VHDL FF D CLEA INCONICO En este caso la señal de reset (clear) solamente actua cuando llega un flanco positivo de Clock. Este código VHDL genera el circuito que se muestra a continuación LIBAY ieee; UE ieee.stdlogic64.all ; ENTITY flipflop I POT ( D, esetn, Clock : IN TDLOGIC ; : OUT TDLOGIC ) ; END flipflop ; ACHITECTUE Behavior OF flipflop I BEGIN POCE ( esetn, Clock) BEGIN WAIT UNTIL Clock = ; IF esetn = THEN <= ; ELE <= D ; END IF ; END POCE ; END Behavior ; esetn D Clock D ET CL

20 LIBAY ieee ; UE ieee.stdlogic64.all ; ENTITY muxdff I POT ( D, D, el, Clock : IN TDLOGIC ; : OUT TDLOGIC ) ; END muxdff ; ACHITECTUE Behavior OF muxdff I BEGIN POCE BEGIN WAIT UNTIL Clock'EVENT AND Clock = '' ; IF el = '' THEN <= D ; ELE <= D ; END IF ; END POCE ; END Behavior ; Figure 7.48 Code for a D flip-flop with a 2-to- multiplexer on the D input

21 FLIP`FLOP EN CACADA J --- K J K2 2 Condiciones Iniciales: CI: = ; 2 = El funcionamiento correcto es el indicado en la siguiente figura (J 2 ) 2 C K t phl ( ) tp LH ( 2 ) Para que ello ocurra tphl debe ser mayor a th, tiempo de retención, (tphl > th ) de 2, cosa que ciertamente se cumple, si ello no ocurriera la respuesta de 2 seria incierta. Los FFs actuales tienen un th < 5 nseg., tendiendo a nseg

22 EJECICIO - -EALIZA EL DIAGAMA TEMPOAL DEL CICUITO DE LA FIGUA 2-EALIZA EL DIAGAMA TEMPOAL DEL CICUITO DE LA FIGUA D D

23 EJECICIO 2 3-EALIZA EL DIAGAMA TEMPOAL DEL CICUITO DE LA FIGUA T X X X X COMO PUEDE VEE LA ALIDA EPONDE A UN FF T

24 EJECICIO 3 EGITO Y LATCHE DE VAIO BIT

25 EJECICIO - 4 EGITO DE 8 BIT

Latch D con Multiplexor. Recordemos el funcionamiento de un Multiplexor B 1. Control Z 0 A 1 B. Realicemos la siguiente modificación

Latch D con Multiplexor. Recordemos el funcionamiento de un Multiplexor B 1. Control Z 0 A 1 B. Realicemos la siguiente modificación Latch con Multiplexor Recordemos el funcionamiento de un Multiplexor A 0 B Control Realicemos la siguiente modificación Z Control Z 0 A B Z = Control. B + Control. A Latch Positivo Latch Negativo 0 0 Latch

Más detalles

CONTADORES CONTADORES ASINCRONOS ASCENDENTE

CONTADORES CONTADORES ASINCRONOS ASCENDENTE CONTADOES CONTADOES ASINCONOS ASCENDENTE S 2 J ck 2 K Vdd Vdd Vdd S J ck K S J ck K Las entradas asincronas S y estan desactivadas -- CI : 2 3 4 5 6 7 8 9 2 2 CONTADO ASINCONO DESCENDENTE S 2 J ck 2 K

Más detalles

TEMA 12 Circuitos secuenciales

TEMA 12 Circuitos secuenciales TEMA 2 Circuitos secuenciales Introducción Biestable asíncrono Biestables síncronos Otros biestables Características temporales de los biestables Tema 2. Circuitos secuenciales - 2- Introducción Circuito

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA www.ceduvirt.com CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA INTRODUCCIÓN SISTEMA SECUENCIAL Un sistema combinatorio se identifica por: 1. La salida del sistema debe ser estrictamente

Más detalles

LECCIÓN Nº 05 SEÑALES DE RELOJ Y FLIP FLOP SINCRONIZADOS POR RELOJ

LECCIÓN Nº 05 SEÑALES DE RELOJ Y FLIP FLOP SINCRONIZADOS POR RELOJ LECCIÓN Nº 05 SEÑALES DE RELOJ Y FLIP FLOP SINCRONIZADOS POR RELOJ. FLIP FLOP RS SINCRONIZADOS POR RELOJ Un flip-flop S-R es un circuito multivibrador biestable conformado por un detector de transición

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Cuatro Tipos de Flip-Flop en la GAL22V10

Cuatro Tipos de Flip-Flop en la GAL22V10 Cuatro Tipos de Flip-Flop en la GAL22V10 Un método para eliminar los estados transitorios inestables en el diseño de circuitos digitales secuenciales es el uso de flip-flops disparados por flanco, esto

Más detalles

Latches, flipflops y registros

Latches, flipflops y registros Departamento de Electrónica Electrónica Digital Latches, flipflops y registros Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Circuitos secuenciales 1 Circuitos secuenciales Salida

Más detalles

T7-SISTEMAS SECUENCIALES

T7-SISTEMAS SECUENCIALES Circuitos ecuenciales 1 T7-ITEMA ECUENCIALE Los circuitos lógicos se clasifican en dos tipos: Combinacionales, aquellos cuyas salidas sólo dependen de las entradas actuales. ecuenciales, aquellos cuyas

Más detalles

FLIP-FLOP JK MAESTRO-ESCLAVO

FLIP-FLOP JK MAESTRO-ESCLAVO FLIP-FLOPS Introducción Uno de los elementos básicos de memoria son los llamado Flip Flops. El estado de un flip flop cambia por un cambio momentáneo en sus entradas. Este cambio se denomina disparo (trigger).

Más detalles

Circuitos Secuenciales Autómatas

Circuitos Secuenciales Autómatas Circuitos Secuenciales Autómatas Apunte N 8 B iestables Un biestable es un dispositivo capaz de almacenar un bit ( ó ). Principio de funcionamiento de un biestable: Utilizando realimentación entre puertas

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales.

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales. TEMA 6: BIESTABLES. 6.1. Elementos de memoria: biestables y tipos. Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales. La característica principal

Más detalles

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales Organización de Computadoras 2003 Apunte 5: Circuitos Lógicos Secuenciales Introducción: En el desarrollo de los sistemas digitales es fundamental el almacenamiento de la información, esta característica

Más detalles

CIRCUITOS SECUENCIALES

CIRCUITOS SECUENCIALES LABORATORIO # 7 Realización: 16-06-2011 CIRCUITOS SECUENCIALES 1. OBJETIVOS Diseñar e implementar circuitos utilizando circuitos multivibradores. Comprender los circuitos el funcionamiento de los circuitos

Más detalles

PROBLEMAS DE APLICACIÓN CON FLIP FLOP

PROBLEMAS DE APLICACIÓN CON FLIP FLOP Oscar Ignacio otero H. PROLEMS DE PLIIÓN ON FLIP FLOP PLIIÓN 1: andas Transportadoras () El sistema posee: 1 sensor de proximidad 1 motor para la banda transportadora 1 1 émbolo retráctil (ME) para empujar

Más detalles

Electrónica Digital: Diseño y Lógica Secuencial

Electrónica Digital: Diseño y Lógica Secuencial Electrónica Digital: Diseño y Lógica Secuencial Profesor: Ing Andrés Felipe Suárez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: Andres.suarez@correounivalle.edu.co Tabla de Contenido

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS. Por: Carlos A. Fajardo

Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS. Por: Carlos A. Fajardo Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS Por: Carlos A. Fajardo cafajar@uis.edu.co Actualizado Julio de 2015 Circuitos Secuenciales Son circuitos digitales con memoria. Su salida

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

Diseño VLSI. Diseño de elementos de memoria. Enric Pastor Dept. Arquitectura de Computadors UPC

Diseño VLSI. Diseño de elementos de memoria. Enric Pastor Dept. Arquitectura de Computadors UPC Diseño VLSI Diseño de elementos de memoria Enric Pastor Dept. Arquitectura de Computadors UPC Tipos de elementos de memoria Utilizados para almacenar información binaria (registros). Dependen de la sincronización

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

Circuitos Secuenciales

Circuitos Secuenciales EL - 337 Página Agenda EL - 337 Página 2 Introducción El biestable de flip flops de flip flops tipo D de flip flops tipo T de flip flops tipo S-R de flip flops tipo J-K de circuitos Conclusiones Introducción

Más detalles

Tema 4. Introducción a los Sistemas y Circuitos Secuenciales. Tema 4: Introducción a los Sistemas y Circuitos Secuenciales

Tema 4. Introducción a los Sistemas y Circuitos Secuenciales. Tema 4: Introducción a los Sistemas y Circuitos Secuenciales Tema 4 Introducción a los istemas y ircuitos ecuenciales 1 Tema 4: Introducción a los istemas y ircuitos ecuenciales 41 istemas secuenciales síncronos y asíncronos 42 Elementos básicos de memoria: Biestables

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

Módulo 2 n. Figura 2.1. Simbología de un contador

Módulo 2 n. Figura 2.1. Simbología de un contador Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar el número de pulsos que llegan a su entrada de reloj. En muchas ocasiones

Más detalles

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Utilizar puertas lógicas para construir

Más detalles

LATCHES, FLIP FLOPS, CONTADORES Y REGISTROS

LATCHES, FLIP FLOPS, CONTADORES Y REGISTROS PONIFICIA UNIVEIA CAÓLICA EL PEÚ EUIO GENEALE CIENCIA ANIEL LLAMOCCA LACE, FLIP FLOP, CONAOE Y EGIO e empieza describiendo el Latch con habilitador y la derivación del Latch tipo a partir del Latch. Posteriormente

Más detalles

Unidad III Introducción a la lógica secuencial

Unidad III Introducción a la lógica secuencial Unidad III Introducción a la lógica secuencial Qué es un FLIP-FLOP. Es un biestable, también llamado báscula (flip-flop en inglés), es un multivibrador capaz de permanecer en un estado determinado o en

Más detalles

Circuitos secuenciales. Circuitos secuenciales. Circuitos secuenciales. Elementos de memoria: Latches

Circuitos secuenciales. Circuitos secuenciales. Circuitos secuenciales. Elementos de memoria: Latches Circuitos secuenciales Los circuitos lógicos secuenciales contienen uno o más bloques lógicos combinacionales junto con elementos de memoria en un camino de realimentación con la lógica. Los elementos

Más detalles

CIRCUITOS SECUENCIALES. Tema 6: ANÁLISIS Y DISEÑO DE CIRCUITOS SECUENCIALES SÍNCRONOS

CIRCUITOS SECUENCIALES. Tema 6: ANÁLISIS Y DISEÑO DE CIRCUITOS SECUENCIALES SÍNCRONOS 3 Tema 6: ANÁLII Y IEÑO E CIRCUITO ECUENCIALE ÍNCRONO Contenido: Elementos de memoria: biestables asíncronos y síncronos. Biestables JK, T,. Entradas asíncronas. Modelo general de máuina secuencial: máuinas

Más detalles

Circuitos Lógicos Secuenciales. Figura 36. Circuito lógico secuencial. Actividad de apertura. Circuitos lógicos secuenciales.

Circuitos Lógicos Secuenciales. Figura 36. Circuito lógico secuencial. Actividad de apertura. Circuitos lógicos secuenciales. Circuitos Lógicos Secuenciales UNIDAD 3 Como recordaras en la unidad pasada vimos los circuitos combinacionales, en estos las salidas solo dependen del valor de las entradas. A diferencia de los circuitos

Más detalles

Tema 4. Elementos Lógicos Secuenciales

Tema 4. Elementos Lógicos Secuenciales Tema 4. Elementos Lógicos ecuenciales Introducción a los circuitos secuenciales síncronos y asíncronos. Latch -. Flip-flops de tipo clocked-latch. Flip-flops D, T, J-K. onversiones entre flip-flops. Estructuras

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

TEMA 4. Registro de la Información

TEMA 4. Registro de la Información TEMA 4. Registro de la Información. Introducción. (2). Sistemas síncronos y asíncronos(3).2 Relojes. Características (4 ) 2. Almacenamiento estático de la información: Latches y Biestables ( 8) 2. Entradas

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175 Registros y latches multibit EL-3213 Circuitos Digitales I 74x175 Registros Contadores Registros de Desplazamiento 1 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados Otros registros de

Más detalles

EL-3213 Circuitos Digitales I. Registros y latches multibit

EL-3213 Circuitos Digitales I. Registros y latches multibit EL-3213 Circuitos Digitales I Registros Contadores Registros de Desplazamiento 1 Registros y latches multibit 74x175 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados 3 Otros registros de

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA Como vimos en la unidad anterior, un sistema combinatorio se identifica porque la salida del sistema

Más detalles

Sistemas Secuenciales

Sistemas Secuenciales Electrónica Básica Sistemas Secuenciales Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC CIRCUITOS SECUENCIALES Combinacional: las salidas dependen de las

Más detalles

1.4 Biestables síncrono

1.4 Biestables síncrono 1.4 Biestables síncrono Son aquellos biestable que disponen de una entrada denominada entrada de habilitación o entrada de reloj (), esta entrada gobierna el modo de funcionamiento del biestable síncrono,

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción.

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción. T-3 Lógica ecuencial. egistros de Desplazamiento y Contadores TEMA - 3 LÓGICA ECUENCIAL. EGITO DE DEPLAZAMIENTO Y CONTADOE..- Introducción. Hemos visto que en la lógica combinacional las salidas están

Más detalles

1.3 COMPUERTAS LÓGICAS, FLIP-FLOPS Y CONTADORES PREP

1.3 COMPUERTAS LÓGICAS, FLIP-FLOPS Y CONTADORES PREP Notas Técnicas de Uso y Aplicación NT0003.3 COMPUETA LÓGICA, FLIP-FLOP Y CONTADOE PEPAATIVO PAA EL ENTENDIMIENTO DE LO MICOCONTOLADOE Preparado por: angel Alvarado Estudiante Graduando de Lic. en Ing.

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) CUARTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) CUARTA CLASE DE VHDL LABORATORIO E CIRCUITOS IGITALES (2005-II) CUARTA CLASE E VHL CIRCUITOS SECUENCIALES Procesos asíncronos (Latches) Procesos síncronos (flip flops, contadores y registros) CIRCUITOS SECUENCIALES En los

Más detalles

Circuitos Electrónicos

Circuitos Electrónicos Circuitos Electrónicos Esquemas del 1 er Parcial eptiembre 2010 José Manuel uero eboul 2º Curso Ingeniero de Telecomunicación E.. Ingenieros de evilla TEMA 1. INTODUCCIÓN A LA ELECTÓNICA DIGITAL. CICUITO

Más detalles

Figura 1: Símbolo lógico de un flip-flop SR

Figura 1: Símbolo lógico de un flip-flop SR FLIP-FLOPS Los circuitos lógicos se clasifican en dos categorías. Los grupos de puertas descritos hasta ahora, y los que se denominan circuitos lógicos secuenciales. Los bloques básicos para construir

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Práctica 5. Generadores de Señales de Reloj y Flip-flops

Práctica 5. Generadores de Señales de Reloj y Flip-flops 5.1 Objetivo Práctica 5 Generadores de Señales de Reloj y Flip-flops El alumno conocerá y comprobará el funcionamiento de dispositivos empleados en la lógica secuencial y dispositivos con memoria basados

Más detalles

2).Diseñar los circuitos cuyas tablas de estados son las siguientes:

2).Diseñar los circuitos cuyas tablas de estados son las siguientes: EJERCICIOS Tema 7 Ejercicios Síncronos 1) Deduce las tablas de estado que se correponden con los siguientes diagramas de estado. 2).Diseñar los circuitos cuyas tablas de estados son las siguientes: 0 1

Más detalles

Flip-Flops. Flops. Introducción n a los Sistemas Lógicos y Digitales 2009

Flip-Flops. Flops. Introducción n a los Sistemas Lógicos y Digitales 2009 Introducción n a los Sistemas Lógicos y Digitales 29 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 28 CLASIFICACIÓN SEGÚN TIPO DE SINCRONISMO FLIP-FLOPS ASINCRÓNICOS (No hay entrada

Más detalles

Tema 6. Elementos Lógicos Secuenciales

Tema 6. Elementos Lógicos Secuenciales Tema 6. Elementos Lógicos ecuenciales ircuitos asíncronos/síncronos. Latch -. Flip-flops de tipo clocked-latch. Flip-flops D, T, J-K. onversiones entre flip-flops. Estructuras de reloj síncrono: master-slave,

Más detalles

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Lógica Secuencial Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes secuenciales Contienen elementos de memoria Los valores de sus salidas dependen de los valores en sus

Más detalles

4. Elementos básicos de memoria. Biestables (Básculas).

4. Elementos básicos de memoria. Biestables (Básculas). 4. Elementos básicos de memoria. Biestables (Básculas). Vamos a describir cómo se implementan los bloques de memoria que hemos presentado en el apartado anterior. Estos bloques pueden estar constituidos

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

Correcto uso de Reset en FPGAs y su Codificación en VHDL

Correcto uso de Reset en FPGAs y su Codificación en VHDL Correcto uso de Reset en FPGAs y su Codificación en VHDL Nota Técnica 10 Cristian Sisterna Introducción En esta nota técnica se describirán con bastante detalle los distintos tipos de reset que se pueden

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos Secuenciales Circuitos secuenciales. Biestables. Registros. Contadores. Registros de desplazamiento

Más detalles

Biestables. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid

Biestables. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid Biestables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Circuitos digitales y microprocesadores Entradas Funciones de salida Salidas Funciones de estado

Más detalles

TEMA 10. CIRCUITOS SECUENCIALES

TEMA 10. CIRCUITOS SECUENCIALES TEMA 10. CIRCUITOS SECUENCIALES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 125 Aniversary: http://www.flickr.com/photos/ieee125/with/2809342254/ 1 TEMA 10. CIRCUITOS

Más detalles

Relación de Problemas de Circuitos Secuenciales

Relación de Problemas de Circuitos Secuenciales Escuela Técnica de Ingenieros en Informática de Sistemas Sistemas Electrónicos Digitales Relación de Problemas de Circuitos Secuenciales 1.- Dado el circuito secuencial síncrono de la figura: a.- Trace

Más detalles

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos UNIVERSIDAD DE VALLADOLID Departamento de Electricidad y Electrónica Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos. - Se desea disponer de un contador asíncrono de

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS Fundamentos de Computadores. Sistemas Secuenciales. T7-1 INDICE: Tema 7. SISTEMAS SECUENCIALES INTRODUCCIÓN SISTEMAS SECUENCIALES SÍNCRONOS TIPOS DE BIESTABLES o TABLAS DE ECITACIÓN DE LOS BIESTABLES o

Más detalles

ELECTRÓNICA DIGITAL TEMA 5

ELECTRÓNICA DIGITAL TEMA 5 ELECTÓNICA DIGITAL TEMA 5 (Parte 1) CONCEPTOS GENEALES ASÍNCONOS DEFINICIÓN Sistema capaz de memorizar el nivel lógico de las variables de entrada y convertirlo en un estado interno del propio sistema,

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA LABORATORIO Nª2: Descripciòn VHDL utilizando el Estilo Algoritmico 1.-Implemente y simule el circuito

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

3.3 Registros de corrimiento

3.3 Registros de corrimiento Actividad de aprendizaje 17. Los Flip-Flops Consulta en internet la configuración de terminales de los siguientes Flip-Flops JK y pega una imagen de ellos: Circuito integrado Configuración de terminales

Más detalles

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias Organización n del Computador Lógica Digital 2 Circuitos y memorias Circuitos Secuenciales Circuitos combinatorios Funciones ooleanas El resultado depende sólo s de las entradas También n necesitamos circuitos

Más detalles

dksdkñld Docentes )) Ing. Rodrigo Furlani Ing. Domingo Guarmaschelli 1 Práctico Nº 4: Lógica Secuencial Flip Flop RS NOR

dksdkñld Docentes )) Ing. Rodrigo Furlani Ing. Domingo Guarmaschelli 1 Práctico Nº 4: Lógica Secuencial Flip Flop RS NOR Práctico Nº 4: Lógica Secuencial Flip Flop RS NOR 1. Conectar el circuito de la siguiente manera: 2. Utilizar los interruptores A y B para llevar el estado de las entradas del flip flor NOR a 0 o a 1 (A=Reset

Más detalles

6. Codificadores. Electrónica Digital. Tema

6. Codificadores. Electrónica Digital. Tema 6. Codificadores Un codificador realiza la función inversa al decodificador, es decir, al activarse una de las entradas, en la salida aparece la combinación binaria correspondiente al número decimal activado

Más detalles

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo.

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo. TITULO : Biestables, Monoestables y Astables 1.-Objetivos: Practica 1 (3.5 %) Estudiar y analizar el comportamiento de los biestables asíncronos y sincronos. Realizar montajes con diferentes tipo de Monoestables.:

Más detalles

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de CONTADORES Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de sus biestables. Los contadores son circuitos

Más detalles

TEMA 8. REGISTROS Y CONTADORES.

TEMA 8. REGISTROS Y CONTADORES. TEMA 8. REGISTROS Y CONTADORES. TECNOLOGÍA DE COMPUTADORES. CURSO 2007/08 8.1. Registros. Tipos de registros. Registros de desplazamiento. Los registros son circuitos secuenciales capaces de almacenar

Más detalles

Sesión 3: Modelo temporal: VHDL concurrente. S3 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 3: Modelo temporal: VHDL concurrente. S3 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 3: Modelo temporal: VHDL concurrente S3 1 Dominios secuencial y concurrente en VHDL ENTITY dispositivo IS PORT(pe1,...:IN tipoe; ps1,...:out tipos; pes1,...:inout tipoes END dispositivo ARCHITECTURE

Más detalles

MONOGRAFÍA CIENTÍFICA

MONOGRAFÍA CIENTÍFICA Diseño y Síntesis de Sistemas de Lógica Secuencial Autor: Jorge Portillo Meniz Profesor Titular de Escuela Universitaria Universidad de Las Palmas de Gran Canaria 2006 Jorge Portillo Meniz, 2006 SISTEMAS

Más detalles

REGISTROS DE DESPLAZAMIENTO

REGISTROS DE DESPLAZAMIENTO REGISTROS DE DESPLAZAMIENTO Es un circuito digital que acepta datos binarios de una fuente de entrada y luego los desplaza, un bit a la vez, a través de una cadena de flip-flops. Este sistema secuencial

Más detalles

5Ejemplos de Aplicaciones del VHDL.

5Ejemplos de Aplicaciones del VHDL. 5Ejemplos de Aplicaciones del VHDL. 5.1 INTRODUCCION En los capítulos anteriores se describió el proceso de diseño para sistemas digitales y las herramientas disponibles (tanto software como hardware)

Más detalles

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16.

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16. CONTADORES Son circuitos digitales lógicos secuenciales de salida binaria o cuenta binaria, caracteristica de temporizacion y de memoria, por lo cual están constituidos a base de flip-flops. CARACTERISTICAS

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

INTRODUCCIÓN A HDL VERILOG

INTRODUCCIÓN A HDL VERILOG INTRODUCCIÓN A HDL VERILOG Departamento de Tecnología Electrónica Universidad de Sevilla Paulino Ruiz de Clavijo Vázquez Rev.7 (nov 2012) Índice Introducción a HDL Verilog Bloque I:

Más detalles

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores Electrónica Tema 6 Circuitos Secuenciales Tema 1 Fundamentos de semiconductores 1 Lógica secuencial Un circuito secuencial es aquel cuyas salidas dependen no sólo de las entradas actuales, sino también

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

1.- El circuito de la figura se comporta como un latch D con reloj. Justificar esta afirmación.

1.- El circuito de la figura se comporta como un latch D con reloj. Justificar esta afirmación. .- El circuito de la figura se comporta como un latch D con reloj. Justificar esta afirmación. D 2.- Un biestable JN tiene dos entradas, J y N. J se comporta de manera idéntica a la entrada J de un biestable

Más detalles

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos. Practica No. 8 Introducción a las Máquinas de Estados Objetivo: Familiarizar al alumno en el conocimiento de los algoritmos de las máquinas de estados. Desarrollo: Para cada uno de los siguientes apartados,

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

TEMA 7. Registros y contadores

TEMA 7. Registros y contadores TEMA 7. Registros y contadores 1. Registros 1.1. Definición. Sistemas secuenciales síncronos formados por agrupaciones de biestables. Capacidad de almacenamiento simultaneo de varios bits. Capacidad de

Más detalles

Organización del Computador 1 Lógica Digital 2: circuitos y memor

Organización del Computador 1 Lógica Digital 2: circuitos y memor Organización del Computador 1 Lógica Digital 2: circuitos y memorias Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires Septiembre 2009 Circuitos secuenciales

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: istemas Digitales Tomás Arredondo Vidal 1er emestre 2009 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and andy Katz.

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 29 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

Lógica Digital. Circuitos Secuenciales. Maximiliano Urso. Orga I

Lógica Digital. Circuitos Secuenciales. Maximiliano Urso. Orga I Lógica Digital Circuitos ecuenciales Maximiliano Urso Orga I Ejercicio 1 ealizar un diagrama de tiempos para el siguiente circuito. Asuma que el retardo de las compuertas es 15 ns. Inicialmente en, y se

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

TEMA 3: Control secuencial

TEMA 3: Control secuencial TEMA 3: Control secuencial Esquema: Índice de contenido TEMA 3: Control secuencial...1 1.- Introducción...1 2.- Biestables...3 2.1.- Biestables asíncronos: el Biestable RS...4 2.1.1.- Biestable RS con

Más detalles

Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo

Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo 1 Electrónica Digital 2 Electrónica Digital 3 Electrónica

Más detalles

2, Detallar los diversos tipos de Flip Flop

2, Detallar los diversos tipos de Flip Flop Profesor/a(s) Ramon Flores Pino Nivel o Curso/s 4º D Unidad/Sub Unidad 3.- Circuitos de lógica Secuencial GUÍA Nº Contenidos 1.- Temporizador 555 2. Flip Flops, Contadores Aprendizajes Esperados 1 Explicar

Más detalles