Teoría de Diseño lógico

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Teoría de Diseño lógico"

Transcripción

1 Teoría de Diseño lógico Diseño lógico EUI ULPGC Jose Torres 1 de Dispositivos de lógica programable Son circuitos integrados cuyas funciones lógicas se pueden reprogramar, es decir, se puede modificar su diseño sin modificación física. Diseño lógico EUI ULPGC Jose Torres 2 de 45

2 Los primeros fueron los PLA (Programable Logic Array), una estructura de 2 niveles de AND y OR con conexiones programables Diseño lógico EUI ULPGC Jose Torres 3 de 45 Actualmente, PLD (Devices), que son los MSI 2 enfoques para aumentar la complejidad de los PLDs: CPLD (Complex): varios PLDs y una estructura de interconexión también programable Diseño lógico EUI ULPGC Jose Torres 4 de 45

3 FPGA (Field Programable Gate Array): mayor número de bloques lógicos (más simples) interconectados. Diseño lógico EUI ULPGC Jose Torres 5 de 45 Ahorro de tiempo de diseño con las FPGA El mayor fabricante de PLDs, Xilinx Inc., fabrica los 2 tipos Diseño lógico EUI ULPGC Jose Torres 6 de 45

4 Lenguajes de descripción de hardware: VHDL y ABEL Avance, reducción del tiempo de comercialización Herramientas software permiten que un diseño sea: - compilado - sintetizado - descargado en un PLD en pocos minutos Diseño lógico EUI ULPGC Jose Torres 7 de El lenguaje de descripción de hardware VHDL Mediados de los 80 Departamento de defensa de USA e IEEE patrocinan su desarrollo. VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Diseño lógico EUI ULPGC Jose Torres 8 de 45

5 Características: Descomposición jerárquica de los diseños Cada elemento de diseño se compone de: - interfaz, para conectarlo a otros - especificación del comportamiento mediante la estructura o mediante algoritmo Modela señales de reloj: concurrencia y temporización Permite simulación lógica y temporal Diseño lógico EUI ULPGC Jose Torres 9 de 45 Existen herramientas de síntesis VHDL para crear el circuito a partir de la descripción VHDL Con VHDL se puede diseñar, simular y sintetizar desde un circuito combinacional simple hasta un sistema microprocesador completo en un chip Primer estándar IEEE: VHDL-87; extendido: VHDL-93 Diseño lógico EUI ULPGC Jose Torres 10 de 45

6 Otro lenguaje: Verilog Apareció al mismo tiempo, presentado en 1984 por Gateway Design Automation Actualmente ambos uso generalizado 50% cada uno Verilog raíces sintácticas en C y VHDL más parecido a Ada Ambos lenguajes son fáciles de aprender y difíciles de dominar Diseño lógico EUI ULPGC Jose Torres 11 de Flujo de diseño Pasos en un proceso de diseño en VHDL: Diseño lógico EUI ULPGC Jose Torres 12 de 45

7 Pasos del modelado (front-end): 1. Jerarquía / diagrama de bloques: definir los módulos y sus interfaces 2. Codificación: escribir el código VHDL mediante un editor especializado: resalta palabras clave, sangría automática, plantillas de estructuras, verificación de sintaxis. 3. Compilación: el compilador analiza la sintaxis, verifica la compatibilidad con otros módulos y genera información interna para el simulador Diseño lógico EUI ULPGC Jose Torres 13 de Simulación /verificación : Simulación: permite definir y aplicar entradas y observar salidas (sin construir el circuito) Proyectos pequeños manualmente. Proyectos grandes: bancos de prueba (automáticamente aplican entradas y comparan las salidas con las esperadas) Verificación (de que funciona; definir los casos de prueba): funcional : funcionamiento lógico de temporización: incluyendo retardos estimados Faltarían los pasos para descargar el diseño en el PLD Diseño lógico EUI ULPGC Jose Torres 14 de 45

8 2.2. Estructura de programa VHDL diseñado con principios de programación estructurada (Pascal y Ada) Idea clave: definir la interfaz de un módulo hw ocultando sus detalles internos Una entidad es simplemente una declaración de las entradas y salidas de un módulo Una arquitectura es una detallada descripción del comportamiento o estructura interna del mismo Diseño lógico EUI ULPGC Jose Torres 15 de 45 La siguiente figura ilustra el concepto: Una declaración de entidad es una envoltura para la arquitectura: oculta los detalles de ésta y proporciona los conectores para que otros módulos la usen Diseño lógico EUI ULPGC Jose Torres 16 de 45

9 Esto es el fundamento del diseño jerárquico: la arquitectura de una entidad de un nivel medio puede utilizar (instanciar) otras entidades ocultando los detalles de arquitectura de entidades de nivel más bajo a las de nivel más alto como se muestra en la siguiente figura: Diseño lógico EUI ULPGC Jose Torres 17 de 45 Diseño lógico EUI ULPGC Jose Torres 18 de 45

10 En el archivo de texto de un programa VHDL, la declaración de entidad y la definición de arquitectura están separadas Un ejemplo de programa VHDL (puerta inhibitoria con 2 entradas): Diseño lógico EUI ULPGC Jose Torres 19 de 45 VHDL ignora espacios en blanco y saltos de línea Los comentarios comienzan con 2 guiones (--) y finalizan con el final de línea Palabras clave, en el ejemplo : entity, port, is, in, out, end, architecture, begin, when, else y not Diseño lógico EUI ULPGC Jose Torres 20 de 45

11 Los identificadores definidos por el usuario, comienzan con una letra y contienen letras, dígitos y guiones bajos (no seguidos ni como último carácter) En el ejemplo: Inhibit, X, Y, BIT, Z e inhibit_arch BIT es un identificador integrado para un tipo predefinido no se considera palabra reservada porque puede ser redefinida No hay diferencia entre mayúsculas y minúsculas Diseño lógico EUI ULPGC Jose Torres 21 de 45 Esta sería la sintaxis de una declaración de entidad: entity nombre-entidad is port ( nombres-señal : modo tipo-señal; nombres-señal : modo tipo-señal;... nombres-señal : modo tipo-señal); end nombre-entidad; cuyo propósito es: - dar nombre a la entidad - definir sus señales de interfaz (con otros módulos) o puertos Diseño lógico EUI ULPGC Jose Torres 22 de 45

12 Además de las palabras clave (en negrita) esta declaración tiene los siguientes elementos: nombre-entidad: un identificador de usuario para nombrar la entidad nombres-señal: lista de identificadores de usuario separados por comas para nombrar los puertos Diseño lógico EUI ULPGC Jose Torres 23 de 45 modo: un palabra clave especificando la dirección de la señal: in : entrada a la entidad out: salida de la entidad. Su valor no puede ser leído dentro de la arquitectura de la entidad, solo por otras entidades que la utilicen buffer: salida de la entidad, pero su valor puede ser leído dentro de la arquitectura inout : entrada o salida; utilizado para terminales triestado tipo-señal: predefinido o definido por el usuario Diseño lógico EUI ULPGC Jose Torres 24 de 45

13 Ejemplos: entity processor is port (clock : in bit; address : out integer; data : inout word_32; control : out proc_control; ready : in bit); end processor; entity ROM is port (enable : in bit; address : in bit_vector(depth 1 downto 0); data : out bit_vector(width 1 downto 0) ); end ROM; Diseño lógico EUI ULPGC Jose Torres 25 de 45 El funcionamiento de la entidad se especifica en su definición de arquitectura, cuya sintaxis es: architecture nombre-arquitectura of nombre-entidad is declaraciones de tipo declaraciones de señal declaraciones de constante definiciones de función definiciones de procedimiento declaraciones de componente begin sentencia-concurrente... sentencia-concurrente end nombre-arquitectura; Diseño lógico EUI ULPGC Jose Torres 26 de 45

14 Donde: - nombre-arquitectura : identificador usuario - nombre-entidad : a la que se refiere Los puertos se heredan de la entidad Una arquitectura puede incluir señales y otras declaraciones locales Las declaraciones comunes a varias entidades se pueden agrupar en un paquete por separado Las declaraciones de objetos locales pueden aparecer en cualquier orden Diseño lógico EUI ULPGC Jose Torres 27 de 45 Para empezar hablaremos de la declaración de señal Misma información que declaración de puerto, excepto el modo: signal nombre-señal : tipo-señal; Las señales se corresponden con los hilos en un diagrama lógico Pueden leerse o escribirse sólo dentro de la definición de la arquitectura Diseño lógico EUI ULPGC Jose Torres 28 de 45

15 Las variables VHDL son semejantes a las señales excepto que no tienen significación física en un circuito No se declaran en una arquitectura Las variables se utilizan en funciones, procedimientos y procesos, donde la declaración de variable será : variable nombres-variable : tipo-variable ; Diseño lógico EUI ULPGC Jose Torres 29 de Tipos y constantes Señales, variables y constantes tienen un tipo asociado Especifica el conjunto o intervalo de valores que puede tomar Tiene asociado un conjunto de operadores (suma, AND, ) Diseño lógico EUI ULPGC Jose Torres 30 de 45

16 VHDL es fuertemente tipeado El compilador no permite asignar un valor a una señal o variable a menos que el tipo del valor coincida con el declarado del objeto Esto es bueno y malo a la vez: - los programas son más fiables y fáciles de depurar - puede ser exasperante, p.e. para volver a interpretar una señal de 2 bits como un entero, puede requerir una llamada a una función de conversión de tipo explícitamente Diseño lógico EUI ULPGC Jose Torres 31 de 45 VHDL tiene tipos predefinidos: bit character severity_level bit_vector integer string boolean real time Los más usados son: boolean = {true, false} character = cto. caracteres ISO de 8 bits integer = [ , ] bit ={ 0, 1 } bit_vector : habrá que indicar el rango del índice según se explica más adelante en el tipo array Diseño lógico EUI ULPGC Jose Torres 32 de 45

17 Los operadores integrados para los integer y boolean son : Operadores integer Operadores boolean + suma and AND - resta or OR * multiplicación nand NAND / división nor NOR mod módulo división xor OR Exclusivo rem módulo residuo xnor NOR Exclusivo abs valor absoluto not complementación ** exponenciación Los operadores booleanos están también definidos para el tipo bit y bit_vector Diseño lógico EUI ULPGC Jose Torres 33 de 45 Asignación de un valor a una señal: Z <= 1 ; Z <= X AND Y; Tipos definidos por el usuario Dentro de éstos los enumerados: los que se enumeran los valores Booleanos y caracteres son enumerados Sintaxis de declaración de un tipo enumerado : type nombre_tipo is (lista_valores); donde lista_valores es una lista de valores separados por comas Diseño lógico EUI ULPGC Jose Torres 34 de 45

18 Los valores pueden ser (1)identificadores definidos por el usuario o (2)caracteres (entre comillas simples) (1) El primer estilo se utiliza para máquinas de estado: p.e. type traffic_light_state is (reset, stop, wait, go); (2) El segundo se utiliza en un tipo lógico definido por el usuario que forma parte del paquete estándar Para simular una señal lógica en un circuito lógico real Habrá que poner antes de la declaración de entidad: library IEEE; use IEEE.std_logic_1164.all; Diseño lógico EUI ULPGC Jose Torres 35 de 45 type STD_ULOGIC is ('U', -- Uninitialized 'X', -- Forcing Unknown ' 0', -- Forcing 0 1, -- Forcing 1 Z, -- High Impedance W, -- Weak Unknown L, -- Weak 0 H, -- Weak Don t care ); subtype STD_LOGIC is resolved(*2) STD_ULOGIC; (*) los valores del tipo son caracteres (*2) hablaremos de esta función (triestado) Diseño lógico EUI ULPGC Jose Torres 36 de 45

19 El usuario puede definir subtipos: subtype nombre_subtipo is nombre_tipo range principio to fin; subtype nombre_subtipo is nombre_tipo range principio downto fin; Ejemplos: subtype twoval_logic is std_logic range '0' to '1'; subtype fourval_logic is std_logic range 'X' to 'Z'; subtype negint is integer range to 1; subtype bitnum is integer range 31 downto 0; VHDL tiene 2 subtipos integer predefinidos : subtype natural is integer range 0 to highest-integer; subtype positive is integer range 1 to highest-integer; Diseño lógico EUI ULPGC Jose Torres 37 de 45 Constantes Dan legibilidad, mantenimiento y portabilidad : Declaración constant nombre_constante: nombre_tipo := valor; Ejemplos: constant BUS_SIZE: integer := 32; -- ancho de componente constant MSB: integer := BUS_SIZE-1; --número de bit del MSB constant Z: character := 'Z'; --sinónimo de alta impe- --dancia Diseño lógico EUI ULPGC Jose Torres 38 de 45

20 El array es un tipo definido por el usuario Conjunto ordenado de elementos del mismo tipo, donde cada elemento es referido por un índice Sintaxis de una declaración de array: type nombre_tipo is array (principio to fin) of tipo_elemento; type nombre_tipo is array (principio downto fin) of tipo_elemento; donde principio y fin son enteros definen el intervalo del índice Diseño lógico EUI ULPGC Jose Torres 39 de 45 Un subconjunto de valores de un tipo existente puede ser el intervalo del índice: type nombre_tipo is array (range-type) of tipo_elemento; type nombre_tipo is array (range-type range principio to fin) of tipo_elemento; type nombre_tipo is array (range-type range principio downto fin) of tipo_elemento; Diseño lógico EUI ULPGC Jose Torres 40 de 45

21 Ejemplos de declaraciones de arrays en VHDL: 1) type monthly_count is array (1 to 12) of integer; 2) type byte is array (7 downto 0) of STD_LOGIC; 3) constant WORD_LEN: integer := 32; type word is array (WORD_LEN-1 downto 0) of STD_LOGIC; donde se usa una constante y una expresión para especificar el índice Diseño lógico EUI ULPGC Jose Torres 41 de 45 4) constant NUM_REGS: integer := 8; type reg_file is array (1 to NUM_REGS) of word; array de arrays (array bidimensional) 5) type statecount is array (traffic_light_state) of integer; donde el índice es un tipo enumerado Los elementos del array están ordenados de izquierda a derecha en la dirección del intervalo del índice. En los ejemplos los primeros elementos tendrían índices: 1, 7, 31, 1 y reset Diseño lógico EUI ULPGC Jose Torres 42 de 45

22 Un elemento de array se referencia por el nombre del array y su índice entre paréntesis Ejemplo: si M,B,W,R y S son de los 5 tipos vistos repectivamente, entonces serían elementos válidos: M(11), B(5), W(word_len-5), R(0,0), R(0) y S(reset) Un literal de array sería puede especificarse enumerando los valores de los elementos Ejemplo: B := ('1','1','1','1','1','1','1','1'); donde B es de tipo byte También notación abreviada mediante el índice : Ejemplo: iniciar la variable W a unos excepto cero en el LSB de cada byte W := (0=>'0',8=>'0',16=>'0',24=>'0',others=>'1'); donde w es de tipo word. Diseño lógico EUI ULPGC Jose Torres 43 de 45 Para literales de array de std_logic usar cadena de caracteres (secuencia entre ), ya que es un array de caracteres. Para los ejemplos anteriores : B := " "; W := " "; Porción de un array: especificando los índices inicio y final Ejemplo: M(6 to 9), B(3 downto 0), W(15 downto 8), R(0,7 downto 0), R(1 to 2), S(stop to go) donde la dirección de la porción es la misma que la del array original Diseño lógico EUI ULPGC Jose Torres 44 de 45

23 Operador de concatenación (&) de arrays y elementos de array Ejemplo: 0 & 1 & 1Z = 011Z B(6 downto 0) & B(7) produce un desplazamiento circular a la izquierda del array B de 8 bits Array más usado (definido en el paquete 1164 de la IEEE) type STD_LOGIC_VECTOR is array ( natural range <> ) of STD_LOGIC; que es un array no restringido ; el intervalo del índice se concreta cuando se declara una señal o variable de este tipo Diseño lógico EUI ULPGC Jose Torres 45 de 45

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones).

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). HDL: Estos lenguajes permitieron solucionar

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL

Más detalles

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

PRACTICA 3. Lenguaje de descripción de hardware VHDL. Lenguaje de descripción de hardware VHDL. Objetivo: El alumno analizara de que partes escenciales conta un codigo hecho atravez del lenguaje de descripcion de hardware VHDL, asi tambien que implica la

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

4.3. Lenguaje VHDL Declaración de Packages

4.3. Lenguaje VHDL Declaración de Packages 4.3. Lenguaje VHDL El lenguaje VHDL (Very High Speed Integrates Circuit Hardware Description Language) es un lenguaje estándar utilizado para la descripción de los sistemas digitales. En este apartado

Más detalles

VHDL Y FPGA LENGUAJE VHDL

VHDL Y FPGA LENGUAJE VHDL VHDL Y FPGA LENGUAJE VHDL VHDL es un lenguaje de alto nivel que describe todas las características de circuitos electrónicos digitales de variada complejidad. El significado de las siglas VHDL es V de

Más detalles

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos de VHDL Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

Introducción a VHDL. Por: Carlos A. Fajardo UIS - Sistemas Digitales

Introducción a VHDL. Por: Carlos A. Fajardo UIS - Sistemas Digitales Introducción a VHDL Por: Carlos A. Fajardo cafajar@uis.edu.co Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño

Más detalles

Tipos de datos en VHDL

Tipos de datos en VHDL Tipos de datos en VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL es un lenguaje de descripción de hardware Es útil para la síntesis y verificación de circuitos digitales Historia

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES Sistemas Electrónicos y Automáticos PRÁCTICA 3 DISEÑO DE CIRCUITOS SECUENCIALES 1. OBJETIVO DE LA PRÁCTICA. El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial

Más detalles

Introducción a VHDL. Por: Carlos A. Fajardo

Introducción a VHDL. Por: Carlos A. Fajardo Introducción a VHDL Por: Carlos A. Fajardo cafajar@uis.edu.co Actualizado 11/11/2015 Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes

Más detalles

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2)

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) TECNOLOGÍA DE COMPUTADORES Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) Agustín Álvarez Marquina Introducción (I) Causas del avance en el diseño de los sistemas digitales en los últimos

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

Operadores y atributos en VHDL [1]

Operadores y atributos en VHDL [1] Operadores y atributos en VHDL [1] Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014

Más detalles

SISTEMAS DIGITALES VHDL

SISTEMAS DIGITALES VHDL SD SISTEMAS DIGITALES VHDL Fredy Hernán Riascos Campiño Practica 1a: Implementación de un incrementador: Se he de implementar un modulo incrementador (INC), Este modulo no es mas que un sumador y un registro

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Tema 2. Funciones Lógicas Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Representación de Circuitos Digitales Representación esquemática. Representación

Más detalles

Lenguaje descripción de hardware: VHDL

Lenguaje descripción de hardware: VHDL Lenguaje descripción de hardware: VHDL Generalidades A partir del desarrollo de circuitos Integrados Digitales programables con una gran cantidad de componentes lógicos y la necesidad de sistema digitales

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

A (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0

A (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0 Fundamentos de lógica digital. VHDL Declaración de entidades utilizando vectores El acomodo de los bits vector que componen un bus puede ser ordenado de forma ascendente o descendente, por ejemplo para

Más detalles

LENGUAJE VHDL. Ing. Wilmer Naranjo 1

LENGUAJE VHDL. Ing. Wilmer Naranjo 1 LENGUAJE VHDL Ing. Wilmer Naranjo 1 DESCRIPCIÓN EN VHDL Al inicio de los 80 s diversos grupos de investigadores empiezan a crear y desarrollar los llamados "lenguajes de descripción de hardware" cada uno

Más detalles

Tipos de Datos en VHDL

Tipos de Datos en VHDL Tipos de Datos en VHDL Tipos de Datos Advertencia. Para escribir código VHDL en forma eficiente, es indispensable conocer los tipos de datos permitidos, como especificarlos y como usarlos. En VHDL los

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL 1.1. Introducción 1.2. Lenguajes para la descripción de hardware 1.3. Ciclo de diseño de los circuitos digitales 1.4. Tecnologías de circuitos integrados

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Tema 2. Funciones Lógicas Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Introducción al VHDL Definición de las estructuras básicas. Entidades: genéricos y puertos. Tipos

Más detalles

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti VHDL Very High speed integrated circuit Hardware Description Language Digital II Ingeniería Electrónica Rosa Corti Contenido Dispositivos FPGA Lenguaje VHDL: Evolución y características Unidades de diseño

Más detalles

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

Lenguaje de descripción de Hardware VHSIC

Lenguaje de descripción de Hardware VHSIC Lenguaje de descripción de Hardware VHSIC VHDL Laboratorio de diseño digital Ingeniería Electrónica 5/2/2006 1 VHDL: Antecedentes históricos Herramientas EDA Necesidad de intercambio de información Primera

Más detalles

VHDL: Tipos de datos. Arquitectura del Computador 2017

VHDL: Tipos de datos. Arquitectura del Computador 2017 VHDL: Tipos de datos Arquitectura del Computador 2017 Paquetes y librerías Package standard de library std: Define BIT, BOOLEAN, INTEGER y REAL. Package std_logic_1164 de library ieee: Define STD_LOGIC

Más detalles

Tema 2 Descripción de VHDL (1/2)

Tema 2 Descripción de VHDL (1/2) TECNOLOGÍA DE COMPUTADORES Tema 2 Descripción de VHDL (1/2) Agustín Álvarez Marquina Elementos básicos de VHDL Elementos básicos Palabras reservadas. Identificadores. Tipos de objetos y datos. Literales.

Más detalles

SÍNTESIS DE CIRCUITOS DIGITALES CON VHDL.

SÍNTESIS DE CIRCUITOS DIGITALES CON VHDL. SÍNTESIS DE CIRCUITOS DIGITALES CON VHDL. 1 Proceso automático, realizado por una herramienta software, para la generación de una representación con puertas lógicas de una descripción VHDL. Las herramientas

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 10 PREGUNTA 1 (1.5 puntos) Dibuje el diagrama conceptual correspondiente a: 1.a) (0.75 puntos) Las sentencias if anidadas siguientes:

Más detalles

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 1: Introducción al lenguaje VHDL S1 1 AREAS DE APLICACION DE VHDL Síntesis i1 suma Documentación Diagnosis de Fallos i1 i2 - Circuito semisumador Componentes: puerta AND puerta OR puerta XOR......

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

VII. Múltiples Procesos en una Arquitectura. F. Santiago E.

VII. Múltiples Procesos en una Arquitectura. F. Santiago E. VII. Múltiples Procesos en una Arquitectura 1 Introducción El Mundo No es Secuencial. Todos los vehículos se mueven al mismo tiempo, es decir, concurrentemente. Cada vehículo es un sistema, se tienen sistemas

Más detalles

5.1. Introducción a los sistemas digitales

5.1. Introducción a los sistemas digitales 5.. Introducción a los sistemas digitales 5... Sistemas digitales [ Wakerly.2 pág. 3] 5..2. Puertas y señales lógicas [ Wakerly.3 pág. 6] 5..3. Representación de la lógica digital [ Wakerly. pág. 9] 5..4.

Más detalles

VHDL. Laboratorio de Arquitectura de Computadores. Curso I. T. Informática de Gestión I. T. Informática de Sistemas

VHDL. Laboratorio de Arquitectura de Computadores. Curso I. T. Informática de Gestión I. T. Informática de Sistemas Laboratorio de Arquitectura de Computadores I. T. Informática de Gestión I. T. Informática de Sistemas Curso 2006-2007 Transparencia: 2 / 50 Índice 1. Conceptos básicos 2. Modelos de Hardware 3. Unidades

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5 Planificaciones 8641 - Sistemas Digitales Docente responsable: ALVAREZ NICOLAS 1 de 5 OBJETIVOS El objetivo de la materia es aprender a diseñar arquitecturas digitales utilizando como herramienta los lenguajes

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

Prof. Dr.-Ing. F. Schubert VHDL

Prof. Dr.-Ing. F. Schubert VHDL Very high speed integrated circuits Hardware Description Language standardizado en 1987 por I (Institute of lectrical and lectronics ngineers) como I 1076-1987 87 Revisionado en 1993 I 1076-1993 93 SIMULADOR

Más detalles

INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU. Nelson Antonio Becerra Carrillo

INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU. Nelson Antonio Becerra Carrillo INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU Nelson Antonio Becerra Carrillo nelsonabc25@hotmail.com Jaime Alberto López Rincón jaimealopezr@yahoo.com Universidad

Más detalles

Laboratorio de Arquitectura de Computadoras

Laboratorio de Arquitectura de Computadoras Laboratorio de Arquitectura de Computadoras Estándar IEEE 1164 de VHDL Oscar Alvarado Nava oan@azc.uam.mx Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana,

Más detalles

VHDL. Lenguaje de descripción hardware

VHDL. Lenguaje de descripción hardware VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las

Más detalles

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas Curso 07/08 Departamento de Arquitectura y Tecnología de Sistemas Informáticos - Facultad de Informática - Universidad

Más detalles

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO ENTIDAD: ARQUITECTURA: ENTITY IS PORT : : END ; = IN / OUT / IN OUT ARCHITECTURE

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

Componentes Básicos. InCo. InCo Componentes Básicos 1 / 28

Componentes Básicos. InCo. InCo Componentes Básicos 1 / 28 Componentes Básicos InCo InCo Componentes Básicos 1 / 28 Modelo de Computación Vemos al computador como un procesador de datos. +------------+ Entrada ===> Computador ===> Salida +------------+ InCo Componentes

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción al VHDL VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción Lenguaje de descripción de dispositivos Hardware. Diferencias con lenguajes de programación:

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

GAL 22V10 Herramientas software

GAL 22V10 Herramientas software GAL22V10 GAL 22V10 Herramientas software Departamento de Electrónica Fundación San Valero Dispositivo GAL 22V10 DESCRIPCIÓN FUNCIONAL DEL DISPOSITIVO 1 Dispositivo GAL 22V10 DESCRIPCIÓN FUNCIONAL DEL MÓDULO

Más detalles

ELEMENTOS Y TIPOS DE DATOS

ELEMENTOS Y TIPOS DE DATOS 1 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Y TIPOS DE DATOS Elementos Tipos de datos Librerías Std_logic y Std_logic_vector 2 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Elementos del VHDL: Comentarios Palabras reservadas.

Más detalles

Laboratorio de Arquitectura de Computadoras

Laboratorio de Arquitectura de Computadoras Laboratorio de Arquitectura de Computadoras Estándar IEEE 1164 de VHDL y ALU TTL-74381 Oscar Alvarado Nava oan@azc.uam.mx Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad

Más detalles

PLANTILLA. [t], Maximino Peña Guerrero,

PLANTILLA. [t], Maximino Peña Guerrero, PLANTILLA Instrucciones secuenciales: CASE CASE ejecuta una o varias secuencias de instrucciones que dependen del valor de una sola expresión. SINTAXIS case expression is when choices => {sequential_statement}

Más detalles

Estructura de un Código VHDL

Estructura de un Código VHDL Estructura de un Código VHDL Unidades Fundamentales Un código VHDL se compone de tres secciones: Library/Package (declaración de bibliotecas o paquetes) Contiene una lista de todas las bibliotecas o paquetes

Más detalles

Simulación de circuitos descritos en VHDL

Simulación de circuitos descritos en VHDL Simulación de circuitos descritos en VHDL Autores: Celia López Luis Entrena Mario García Enrique San Millán Marta Portela Almudena Lindoso 1 Indice 1 2 3 4 5 Validación funcional de circuitos digitales

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

4.5. Procedimiento de síntesis

4.5. Procedimiento de síntesis 4.5. Procedimiento de síntesis En este apartado se resumen los pasos a seguir para completar la implementación de un sistema digital en un dispositivo programable: descripción del sistema mediante uno

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 6 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1,z2 y

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT.

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT. Tema 1 Parte 1: Clasificación de circuitos digitales Parte 2: Lenguajes de descripción de Hardware Parte 1. Introducción Circuitos Integrados: Microelectrónica Área de la electrónica aplicada Estudio de

Más detalles

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL EJERCICIOS DE SISTEMAS ELECTRÓNICOS DIGITALES: HOJA 2 2 o CURSO DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL LENGUAJES DE ALTO NIVEL 1) Realiza en RTL un comparador de dos buses

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Celia López, Luis Entrena, Mario García, Enrique

Más detalles

SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS

SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS ENRIQUE MANDADO 1,2, YAGO MANDADO 3, GIRALDO VALDÉS 2,4 y LUIS M. MENÉNDEZ 2,5 1 Departamento

Más detalles

Sesión 6: Diseño Lógico con VHDL

Sesión 6: Diseño Lógico con VHDL Sesión 6: Diseño Lógico con VHDL S6 VHDL: de la tecnología a la arquitectura de computadores. José J. Ruz Ortiz, Síntesis 997 Estilos de descripción de un circuito lógico S6 2 VHDL: de la tecnología a

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Lenguajes de Descripción de Hardware

Lenguajes de Descripción de Hardware Lenguajes de Descripción de Hardware Los lenguajes de descripción de Hardware (HDLS) son utilizados para describir la arquitectura y comportamiento de un sistema electrónico. VHDL VHDL, viene de VHSIC

Más detalles

VHDL Niveles de abstraccion de un modelo Caracteristicas de VHDL Entidad y Arquitectura Comportamiento y Estructura Conceptos Basicos del Lenguaje

VHDL Niveles de abstraccion de un modelo Caracteristicas de VHDL Entidad y Arquitectura Comportamiento y Estructura Conceptos Basicos del Lenguaje VHDL Niveles de abstraccion de un modelo Caracteristicas de VHDL Entidad y Arquitectura Comportamiento y Estructura Conceptos Basicos del Lenguaje Instrucciones Concurrentes y Secuenciales Manejo del tiempo

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006.

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. OBJETIVOS: Con este proyecto se pretende que el alumno practique y afiance los conocimientos

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

----*************************************************************************

----************************************************************************* library IEEE; library UNISIM; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use UNISIM.VComponents.all; ----********************************************************************

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 8

Sistemas Electrónicos Digitales. PRACTICA nº 8 PRACTICA nº 8 Diseño de subsistemas aritméticos. Síntesis sobre dispositivos FPGA. Síntesis estructural a partir de la descripción VHDL a nivel RTL de la estructura. Síntesis a partir de la descripción

Más detalles

Unidad Didáctica 2. Elementos básicos del lenguaje Java Tipos, declaraciones, expresiones y asignaciones

Unidad Didáctica 2. Elementos básicos del lenguaje Java Tipos, declaraciones, expresiones y asignaciones Unidad Didáctica 2 Elementos básicos del lenguaje Java Tipos, declaraciones, expresiones y asignaciones Fundamentos de Programación Departamento de Lenguajes y Sistemas Informáticos Versión 1.0.3 Índice

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos del diseño

Más detalles

Etapas en la solución de un problema

Etapas en la solución de un problema Algoritmo Conjunto de pasos, procedimientos o acciones que nos permiten alcanzar un resultado o resolver un problema. Etapas en la solución de un problema Problema Análisis profundo del problema Construcción

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS.

DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS. Práctica 3 DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS. Descripción VHDL de sistemas secuenciales Ángel Grediaga Olivo Universidad Alicante 1 Práctica 3 Descripción de registros y memorias Objetivos

Más detalles

Principios de Computadoras II

Principios de Computadoras II Departamento de Ingeniería Electrónica y Computadoras Operadores y Expresiones rcoppo@uns.edu.ar Primer programa en Java 2 Comentarios en Java Comentario tradicional (multi-línea) Comentario de línea Comentario

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas Carlos Andrés Luna Vázquez Lección 10 Problemas 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje

Más detalles

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb.

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb. Estructura de VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Departamento de Sistemas e Informática. Digital II - ECA VHDL. Very High speed integrated circuit Hardware Description Language.

Departamento de Sistemas e Informática. Digital II - ECA VHDL. Very High speed integrated circuit Hardware Description Language. Departamento de Sistemas e Informática Digital II - ECA VHDL Very High speed integrated circuit Hardware Description Language Rosa Corti 2015 Contenido Lógica programable - Dispositivos FPGA Lenguaje VHDL:

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 1. Dado un decodificador de dos entradas (DEC 2:4), dar la descripción estructural, la descripción

Más detalles