Fundamentos de los Computadores Grado en Ingeniería Informática

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Fundamentos de los Computadores Grado en Ingeniería Informática"

Transcripción

1 3.2. Componentes combinacionales básicos Fundamentos de los Computadores Grado en Ingeniería Informática

2 Introducción Los circuitos combinacionales son aquellos cuyo valor de salida depende d única y exclusivamente de los valores de entrada Los circuitos complejos suelen diseñarse reutilizando componentes combinacionales básicos de uso común Los objetivos os de este tema son: Describir algunos de los componentes combinacionales básicos más frecuentemente usados en el diseño de circuitos Describir como se implementan estos componentes utilizando puertas lógicas básicas Componentes combinacionales básicos 2

3 Introducción Introducción Circuitos comparadores Codificación/Decodificación Selección/Distribución de datos Buses y salidas triestado Desplazamiento/Rotación Dispositivos iti programables Resumen y bibliografía Componentes combinacionales básicos 3

4 Circuitos comparadores La función básica de un circuito comparador consiste en comparar las magnitudes de dos cantidades d binarias i para determinar la relación entre ellas En su forma más sencilla, un circuito comparador determina si dos números son iguales o no Resulta frecuente ampliar la funcionalidad de un circuito comparador para que, en caso de que los dos números comparados no sean iguales, sea capaz de distinguir cuál de los dos es el mayor Componentes combinacionales básicos 4

5 Igualdad entre magnitudes La puerta XOR puede ser utilizada como comparador básico, ya que su salida es 0 si las entradas son iguales y 1 si las entradas son diferentes Componentes combinacionales básicos 5

6 Igualdad entre magnitudes Por ejemplo, para comparar números binarios de dos bits serán necesarias dos puertas XOR Si los números son iguales los dos bits también lo serán Si los números son distintos, t alguno de los bits será distinto t Este esquema es fácilmente extensible para números con mayor cantidad d de bits Componentes combinacionales básicos 6

7 Desigualdad entre magnitudes Además de indicar si los números comparados son iguales, es frecuente que los circuitos it comparadores indiquen cuál álde los dos números es el mayor Esto implica la existencia de tres salidas en el circuito: Indicación de si el primer número es el mayor (A>B) Indicación de si los dos números son iguales (A=B) Indicación de si el segundo número es el mayor (A<B) Componentes combinacionales básicos 7

8 Desigualdad entre magnitudes El procedimiento general para comparar dos números consiste en buscar una desigualdad den cualquiera de las posiciones, i comenzando por el bit más significativo La relación entre los números queda establecida en el momento en que se encuentre la primera desigualdad Si A i = 1 y B i = 0 entonces A > B Si A i = 0 y B i = 1 entonces A < B Si se examinan todas las posiciones y no se encuentra ninguna desigualdad, entonces los números son iguales Componentes combinacionales básicos 8

9 Desigualdad entre magnitudes También resulta útil tener tres entradas para permitir la conexión en cascada de circuitos it comparadores Cuando se realice este tipo de conexión en cascada es importante tener en cuenta que siempre será prioritaria la salida del comparador correspondiente a los bits más significativos Componentes combinacionales básicos 9

10 Diseño de un circuito comparador Un circuito comparador universal que permita comparar dos números X e Y puede diseñarse conectando módulos sencillos que comparen pocos bits Cada módulo necesitará sólo dos salidas: G y L G = 1 X > Y G = 0 X Y L = 1 X < Y L = 0 X Y Si G = 0 y L = 0 al mismo tiempo X = Y La conexión de estos módulos para generar un circuito comparador puede hacerse en serie o en paralelo Componentes combinacionales básicos 10

11 Diseño de un circuito comparador El diseño de un circuito comparador de números de dos bits puede realizarse usando el método de Karnaugh G = A 1 B 1 + A 1 A 0 B 0 + B 1 A 0 B 0 L = A 1 B 1 + A 1 A 0 B 0 + B 1 A 0 B 0 Componentes combinacionales básicos 11

12 Diseño de un circuito comparador Dadas estas ecuaciones, el diseño del circuito comparador de números de dos bits queda como sigue: G = A 1 B 1 + A 1 A 0 B 0 + B 1 A 0 B 0 L = A 1 B 1 + A 1 A 0 B 0 + B 1 A 0 B 0 Componentes combinacionales básicos 12

13 Diseño de un circuito comparador Una implementación en serie de un comparador usaría un módulo de dos bits para comparar cada pareja de bits de ambos números Hay que tener en cuenta que el resultado de la comparación de los bits más significativos siempre es prioritaria respecto a los demás bits Componentes combinacionales básicos 13

14 Diseño de un circuito comparador El problema de la conexión en serie es que el retardo del circuito global ldepende d de la propagación de la señal por todos los módulos Esto puede resolverse con una conexión paralela en la que primero se comparan parejas, luego parejas de parejas y así sucesivamente Componentes combinacionales básicos 14

15 Introducción Introducción Circuitos comparadores Codificación/Decodificación Selección/Distribución de datos Buses y salidas triestado Desplazamiento/Rotación Dispositivos iti programables Resumen y bibliografía Componentes combinacionales básicos 15

16 Circuitos decodificadores La función básica de un decodificador es detectar la presencia de una determinada d combinación ió de bits, denominada d código, en sus entradas Un decodificador indicará la presencia de un código en sus entradas por medio de un determinado nivel de salida, ya sea alto o bajo En su forma más general, un decodificador tendrá n entradas y 2 n salidas para indicar cada una de las posibles combinaciones de valores de las entradas Componentes combinacionales básicos 16

17 Activación a nivel alto Por ejemplo, supongamos que queremos indicar cuándo aparece la combinación ió 1001 en las entradas de un circuito it por medio de un nivel alto en la salida Se puede utilizar una puerta AND como elemento básico de decodificación, ya que sólo genera un nivel alto a la salida si todas las entradas están a nivel alto A B X = ABCD C D Componentes combinacionales básicos 17

18 Entrada de habilitación Los circuitos decodificadores suelen incorporar también una entrada de habilitación ió E para habilitar o no su funcionamiento i Cuando la entrada de habilitación de un decodificador activo a nivel alto esté a 0, todas las salidas serán 0 independientemente de la combinación de valores de las entradas Cuando la entrada de habilitación esté a 1, la salida correspondiente a la combinación de valores de las entradas será 1 y todas las demás salidas serán 0 Componentes combinacionales básicos 18

19 Decodificadores activos a nivel alto Un decodificador de 1 entrada tendrá 2 salidas, tal y como se muestra a continuación ió C 0 = E A 0 C 1 = E A 0 Componentes combinacionales básicos 19

20 Decodificadores activos a nivel alto El diseño anterior puede expandirse fácilmente para obtener un decodificador de 2 entradas y 4 salidas C 0 = E A 1 A 0 C 1 =EA E A 1 A 0 C 2 = E A 1 A 1 0 C 3 = E A 1 A 0 Componentes combinacionales básicos 20

21 Decodificadores activos a nivel alto También resulta posible construir decodificadores mayores, por ejemplo con 3 entradas y 8 salidas, a partir de los bloques anteriores El diseño incluirá varios niveles de decodificadores, donde los de un nivel habilitan o no a los del siguiente Componentes combinacionales básicos 21

22 Activación a nivel bajo Supongamos ahora que queremos indicar cuándo aparece la combinación ió 1001 en las entradas de un circuito it por medio de un nivel bajo en la salida Se puede utilizar una puerta NAND como elemento básico de decodificación, ya que sólo genera un nivel bajo a la salida si todas las entradas están a nivel alto A B X = ABCD C D Componentes combinacionales básicos 22

23 Decodificadores activos a nivel bajo Por ejemplo, un decodificador activo a nivel bajo con 4 líneas de entrada necesitará 16 salidas para poder decodificar difi todas las posibles combinaciones de entrada Componentes combinacionales básicos 23

24 Decodificadores activos a nivel bajo Otro ejemplo es el decodificador BCD a decimal, que tiene 4 líneas de entrada que se corresponden con un código BCD 8421 Este decodificador sólo necesita 10 líneas de salida, correspondientes a los dígitos decimales, ya que hay que ignorar 6 de las posibles combinaciones de entrada Componentes combinacionales básicos 24

25 Decodificadores activos a nivel bajo Otra aplicación es usar un decodificador con 4 líneas de entrada, correspondientes a un código BCD, y 7 líneas de salida que controlen un display de 7 segmentos Componentes combinacionales básicos 25

26 Generación de funciones lógicas Cada salida de un decodificador se corresponde con un término producto o con un término suma, por lo que podemos usar decodificadores para implementar funciones lógicas Ejemplo: dada una tabla A B C F de verdad, obtener una 0) expresión como suma de 1) ) productos o como 3) producto de sumas 4) ) ) ) F(A,B,C) = (1,3,5,7) F(A,B,C) = (0,2,4,6) Componentes combinacionales básicos 26

27 Generación de funciones lógicas Para generar una función expresada como suma de productos con un decodificador difi d activo a nivel alto será necesario conectar las salidas correspondientes a una puerta OR F(A,B,C) = (1,3,5,7) Componentes combinacionales básicos 27

28 Generación de funciones lógicas Para generar una función expresada como suma de productos con un decodificador difi d activo a nivel lbajo será necesario conectar las salidas correspondientes a una puerta NAND F(A,B,C) = (1,3,5,7) Componentes combinacionales básicos 28

29 Generación de funciones lógicas Para generar una función expresada como producto de sumas con un decodificador difi d activo a nivel alto será necesario conectar las salidas correspondientes a una puerta NOR F(A,B,C) = (0,2,4,6) ) Componentes combinacionales básicos 29

30 Generación de funciones lógicas Para generar una función expresada como producto de sumas con un decodificador difi d activo a nivel lbajo será necesario conectar las salidas correspondientes a una puerta AND F(A,B,C) = (0,2,4,6) ) Componentes combinacionales básicos 30

31 Circuitos codificadores Un circuito codificador realiza, en esencia, la función contraria a un circuito it decodificador difi d Cuando se activa una de sus líneas de entrada, un circuito codificador generará una salida codificada correspondiente a la entrada En su forma más general, un codificador tendrá n salidas y 2 n entradas que se corresponderán a cada una de las posibles combinaciones de salida Componentes combinacionales básicos 31

32 Codificadores activos a nivel alto Un codificador activo a nivel alto generará una combinación de salida si la entrada correspondiente está a nivel alto Por ejemplo, un codificador de decimal a BCD 8421 tendrá 10 líneas de entrada, que se corresponden con los dígitos decimales, y 4 líneas de salida para codificarlas Componentes combinacionales básicos 32

33 Codificadores activos a nivel alto Cada una de las líneas de salida se corresponde a uno de los bits del código BCD 8421 A0 = A1 = A2 = A3 = Componentes combinacionales básicos 33

34 Codificadores activos a nivel bajo Un codificador también puede ser activo a nivel bajo, es decir, generará una combinación ió de salida cuando la entrada correspondiente esté a nivel bajo Por ejemplo, un codificador de octal a binario tendrá 8 líneas de entrada, correspondientes a los dígitos octales, y 3 líneas de salida para codificarlos en binario i Componentes combinacionales básicos 34

35 Codificadores con prioridad Si se activa más de una línea de entrada en un circuito codificador, d el resultado de salida no será el esperado Para evitar esto es necesario dar un orden de prioridad a las entradas, de manera que siempre que haya varias entradas activadas sólo se tenga en cuenta una de ellas Un codificador con prioridad también puede tener una salida adicional, denominada CUALQUIERA, que se activará si alguna de las entradas está activada Componentes combinacionales básicos 35

36 Codificadores con prioridad Un codificador con prioridad activo a nivel alto con 2 entradas tendrá 1 línea de salida, tal y como se muestra a continuación ió A 0 = D 1 Cualquiera = D 0 +D 1 Componentes combinacionales básicos 36

37 Codificadores con prioridad El diseño anterior puede expandirse fácilmente para obtener un decodificador difi d de 4 entradas y 2 salidas A 0 =D D 1 D 2 +D 3 A 1 = D 2 +D 3 Cualquiera = D 0 +D 1 +D 2 +D 3 Componentes combinacionales básicos 37

38 Introducción Introducción Circuitos comparadores Codificación/Decodificación Selección/Distribución de datos Buses y salidas triestado Desplazamiento/Rotación Dispositivos iti programables Resumen y bibliografía Componentes combinacionales básicos 38

39 Circuitos multiplexores o selectores Un multiplexor o selector es un circuito que permite dirigir la información ió digital it procedente de varias líneas de entrada hacia una única línea de salida Su principal objetivo es permitir que información procedente de varias fuentes pueda transmitirse a un destino común a través de una línea compartida Un multiplexor posee 2 n líneas de entrada y una única línea de salida, además de n entradas de selección que permiten decidir qué entrada se conecta a la salida Componentes combinacionales básicos 39

40 Diseño de circuitos multiplexores Este diagrama muestra el diseño de un circuito multiplexor con 2 entradas de datos y 1 entrada de selección que permite elegir entre ellas Y = S D 0 +S D 1 Componentes combinacionales básicos 40

41 Diseño de circuitos multiplexores Este otro diagrama muestra el diseño de un multiplexor con 4 entradas de datos, por lo que serán necesarias 2 entradas de selección para elegir entre ellas Y=S 0 S 1 D 0 + S 0 S 1 D 1 + S 0 S 1 D 2 + S 0 S 1 D 3 Componentes combinacionales básicos 41

42 Diseño de circuitos multiplexores Un multiplexor con 8 entradas de datos y 3 de selección puede construirse usando varios multiplexores l menores Un primer nivel de multiplexores permitirá elegir entre cada dos entradas, el siguiente nivel elegirá entre las parejas anteriores y así sucesivamente Componentes combinacionales básicos 42

43 Diseño de circuitos multiplexores Un multiplexor de 8 entradas de datos también puede implementarse usando un decodificador difi d de 3 entradas para controlar las señales de selección Esta técnica sólo puede usarse para multiplexores pequeños, pues, en otro caso, el coste del decodificador y la puerta OR de salida sería demasiado d grande Componentes combinacionales básicos 43

44 Generación de funciones lógicas Una aplicación de los multiplexores es la generación de funciones lógicas El número de entradas de selección equivale al número de variables de la función El número de entradas de datos equivale al número de posibles combinaciones de entrada Dada una tabla de verdad, las entradas del multiplexor correspondientes a las líneas de la tabla con valor de salida 1 se fijan a 1 El resto de las entradas de datos se fijan a 0 Componentes combinacionales básicos 44

45 Generación de funciones lógicas Dada una función de 3 variables, podemos representarla utilizando un multiplexor l con 3 entradas de selección F(A,B,C) = (1245) (1,2,4,5) F(A,B,C) = (0,3,6,7) A B C F 0) ) ) ) ) ) ) ) MUX Componentes combinacionales básicos 45

46 Generación de funciones lógicas Una función de 3 variables también se puede realizar usando un multiplexor l con sólo 2 entradas de selección, usando un mapa de Karnaugh como ayuda F(A,B,C) = (1,2,4,5) F(A,B,C) = (0,3,6,7) AB C D D1 D D2 MUX Componentes combinacionales básicos 46

47 Generación de funciones lógicas También podemos realizar una función de 4 variables con un multiplexor l de 3 entradas de selección, siempre dejando d en las columnas del mapa una única variable F(A,B,C,D) = (1,2,4,5,9,10,11) F(A,B,C,D) = (0,3,6,7,8,12,13,14,15) ABC D D D D D D D D D4 MUX Componentes combinacionales básicos 47

48 Diseño de circuitos codificadores Los multiplexores o selectores también pueden usarse para implementar un circuito it codificador d con prioridad id da partir de circuitos codificadores simples Un codificador diseñado así tendrá varios niveles formados por codificadores más sencillos que agrupan las líneas de entrada Las salidas CUALQUIERA de los codificadores se propagarán hasta que se obtenga el código de salida Componentes combinacionales básicos 48

49 Diseño de circuitos codificadores La salida CUALQUIERA de los codificadores de un mismo nivel se utilizará como entrada de selección para circuitos it multiplexores que generen los bits menos significativos de cada parte del código Componentes combinacionales básicos 49

50 Circuitos demultiplexores o distribuidores Un demultiplexor realiza la función contraria a la de un multiplexor, l es decir, distribuir ib i los datos provenientes de una línea de entrada entre varias líneas de salida Su principal objetivo es permitir que información procedente una fuente común que llega por una línea compartida pueda transmitirse a varios destinos Un demultiplexor posee una única línea de entrada y2 n líneas de salida, además de n entradas de selección que permiten decidir qué salida se conecta a la entrada Componentes combinacionales básicos 50

51 Diseño de circuitos demultiplexores Este diagrama muestra el diseño de un circuito demultiplexor con 4 salidas de datos y 2 entradas de selección que permiten elegir entre ellas S0 S1 D0 D1 D2 D E X X X X X X E X X X E X X X E Componentes combinacionales básicos 51

52 Diseño de circuitos demultiplexores La implementación de un demultiplexor puede realizarse usando un circuito it decodificador difi d con una entrada de habilitación ió Las entradas de datos serán usadas como entradas de selección La entrada de habilitación será usada como entrada de datos Cuando esté a 0, todas las salidas estarán a 0 Cuando esté a 1, la salida correspondiente al código de entrada estará a 1 DEMUX Componentes combinacionales básicos 52

53 Introducción Introducción Circuitos comparadores Codificación/Decodificación Selección/Distribución de datos Buses y salidas triestado Desplazamiento/Rotación Dispositivos iti programables Resumen y bibliografía Componentes combinacionales básicos 53

54 Buses Es difícil fabricar multiplexores con un gran número de entradas, ya que eso implicaría centralizar un gran número de conexiones en un único lugar Un bus es un elemento de transmisión de datos, fácil de fabricar, que permite solucionar este problema Para implementar un bus se utiliza un componente denominado adaptador triestado, cuya salida puede proporcionar p tres posibles valores: un 0, un 1 o un estado de alta impedancia Componentes combinacionales básicos 54

55 Adaptadores triestado Un adaptador triestado tiene una línea de datos (D), una línea de salida (Y) y una entrada de habilitación ió (E) Siempre que la entrada de habilitación esté a 1, la salida será igual al contenido de la entrada Si la entrada de habilitación está a 0, la salida será un estado de alta impedancia En la práctica, el estado de alta impedancia (Z) puede considerarse como una desconexión eléctrica del bus Componentes combinacionales básicos 55

56 Adaptadores triestado El funcionamiento de los adaptadores triestado de un bus es equivalente al lde un multiplexor l Cada bus contiene un conjunto de adaptadores triestado, de forma que se utiliza uno de ellos para cada fuente de datos que se conecta al bus Las entradas de habilitación de los adaptadores deben establecerse de manera que sólo una fuente de datos pueda estar conectada al bus en un momento dado Componentes combinacionales básicos 56

57 Implementación de buses Utilizando los mismos principios se pueden construir buses que tengan más fuentes de datos Por ejemplo, un bus con cuatro fuentes necesitará dos entradas de selección para elegir la fuente que se conecta al bus Estas entradas de selección pueden conectarse a un decodificador, que será el encargado de manipular las líneas de habilitación de los adaptadores triestado Componentes combinacionales básicos 57

58 Introducción Introducción Circuitos comparadores Codificación/Decodificación Selección/Distribución de datos Buses y salidas triestado Desplazamiento/Rotación Dispositivos iti programables Resumen y bibliografía Componentes combinacionales básicos 58

59 Desplazamiento y rotación Una operación de desplazamiento consiste en desplazar un número binario i m posiciones i de bit a la izquierda i o a la derecha Como resultado de un desplazamiento, se mueven fuera m bits del número y se introducen m bits nuevos Una operación de rotación es similar a una de desplazamiento, pero en ella no se pierden bits, ya que los que se mueven fuera son los que se introducen de nuevo por el otro extremo del número Componentes combinacionales básicos 59

60 Modos de desplazamiento La operación de desplazamiento puede realizarse tanto en modo lógico como en modo aritmético Un desplazamiento lógico siempre introduce ceros para rellenar las posiciones vacías del número desplazado Un desplazamiento aritmético de una posición representa una multiplicación por dos si es a la izquierda o una división por dos si es a la derecha Si se desplaza a la derecha un número en complemento a 2, se rellenan las nuevas posiciones ii con copias del dlbitd de signo Si se desplaza a la izquierda un número en complemento a 2, el bit más significativo debe ser un duplicado del bit de signo Componentes combinacionales básicos 60

61 Circuitos desplazadores y rotadores La implementación de circuitos desplazadores y rotadores se realiza utilizando multiplexores l Por ejemplo, un circuito desplazador/rotador universal necesitaría tres señales de control para manipular los multiplexores Una señal S 0 indicará si el circuito debe realizar una operación de desplazamiento o una operación de rotación Una señal S 1 indicará si la operación de desplazamiento o rotación debe realizarse a la izquierda o a la derecha Una señal S 2 indicará si se realiza la operación de desplazamiento o rotación, o bien si se deja el número sin modificar Componentes combinacionales básicos 61

62 Circuitos desplazadores y rotadores Este diagrama muestra un circuito desplazador/rotador universal que puede realizar un desplazamiento lógico o rotar una posición a izquierda o derecha Componentes combinacionales básicos 62

63 Circuitos desplazadores y rotadores El circuito anterior solo puede realizar una operación desplazamiento o rotación de una única posición ió Esto significa que para desplazar o rotar más de una posición habrá que pasar el número por el circuito varias veces, lo que ralentizaría el proceso Para evitar esto se puede utilizar un desplazador o rotador en bloques,,que es capaz de desplazar o rotar cualquier número de posiciones Componentes combinacionales básicos 63

64 Circuitos desplazadores y rotadores Los desplazadores/rotadores en bloques utilizan varios niveles de multiplexores l Dado un número de n bits, el desplazamiento/rotación máximo será de n 1 bits, para lo que serán necesarios tantos niveles de multiplexores como bits tenga la representación binaria de n Cada nivel de multiplexores desplazará o rotará el número tantas posiciones como el peso de uno de los bits de n, por lo que combinándolos todos podremos obtener todos los valores entre 0 y n 1 Componentes combinacionales básicos 64

65 Circuitos desplazadores y rotadores Por ejemplo, un rotador a la derecha en bloques para números de ocho bits, como el mostrado en el diagrama, necesitará tres entradas de selección S 0 rota 1 posición ió S 1 rota 2 posiciones S 2 rota 4 posiciones Componentes combinacionales básicos 65

66 Introducción Introducción Circuitos comparadores Codificación/Decodificación Selección/Distribución de datos Buses y salidas triestado Desplazamiento/Rotación Dispositivos iti programables Resumen y bibliografía Componentes combinacionales básicos 66

67 Dispositivos lógicos programables (PLD) Los dispositivos lógicos programables (PLD Programmable Logic Device) ) se utilizan para reemplazar circuitos it complejos, reduciendo el coste y ahorrando espacio Un PLD está formado por una matriz de puertas AND y puertas OR que se puede programar para conseguir funciones lógicas específicas Esencialmente, una de estas matrices programables es una red de conductores distribuidos en filas y columnas con un fusible en cada punto de intersección Componentes combinacionales básicos 67

68 Matriz OR programable Una matriz OR está formada por una serie de puertas OR conectadas td a una matriz ti programable La matriz se programa fundiendo los fusibles para eliminar determinadas variables de los puntos de salida Para cada entrada de una puerta OR sólo quedará intacto el fusible correspondiente a la variable deseada d Una vez fundido, un fusible no se puede volver a conectar Componentes combinacionales básicos 68

69 Matriz AND programable Una matriz AND está formada por una serie de puertas AND conectadas td a una matriz ti programable La matriz se programa fundiendo los fusibles para eliminar determinadas variables de los puntos de salida Para cada entrada de una puerta AND sólo quedará intacto el fusible correspondiente a la variable deseada d Una vez fundido, un fusible no se puede volver a conectar Componentes combinacionales básicos 69

70 Clasificación de los PLD Existen cuatro tipos de PLD, clasificados en función de la organización ió de sus elementos internos: Memorias programables de sólo lectura (PROM Programmable Read-Only Memory) Matrices lógicas programables PLA (PLA - Programmable Logic Array) Matrices lógicas programables PAL (PAL - Programmable Array Logic) Matrices lógicas genéricas (GAL Generic Array Logic) Componentes combinacionales básicos 70

71 PROM Las memorias programables de sólo lectura (PROM Programmable Read-Only Memory) ) están formadas por un conjunto fijo de puertas AND conectadas como decodificador y una matriz programable OR Debido a la limitación de las puertas AND fijas, las PROM suelen usarse como memorias direccionables i y no como dispositivos lógicos Componentes combinacionales básicos 71

72 PLA Las matrices lógicas programables PLA (Programmable Logic Array) están formadas por una matriz AND programable y una matriz OR programable Componentes combinacionales básicos 72

73 PAL Las matrices lógicas programables PAL (Programmable Array Logic) están formadas por una matriz AND programable y una matriz OR fija con una lógica de salida Este esquema evita los retardos y la complejidad causada por los fusibles adicionales resultantes de tener dos matrices programables Componentes combinacionales básicos 73

74 GAL Las matrices lógicas genéricas (GAL Generic Array Logic) se forman con una matriz AND programable y una matriz OR fija con una lógica de salida programable Se diferencian de las PAL en que: Las configuraciones de salida son programables Las GAL se pueden reprogramar una y otra vez debido a que usan una tecnología más avanzada en lugar de fusibles Componentes combinacionales básicos 74

75 Matrices lógicas programables (PAL) Las PAL están formadas por una matriz programable de puertas AND conectadas a una matriz de puertas OR fijas con una lógica de salida La matriz AND programable está formada por una red de conductores, con cada fila conectada a la entrada de una puerta AND y cada columna conectada a una variable de entrada o a su complemento Cada punto de intersección entre una fila yuna columna se denomina celda, siendo éste el elemento programable de la PAL Componentes combinacionales básicos 75

76 Programación de una PAL Una PAL permite implementar cualquier expresión en forma de suma de productos con un número de variables definido id Por ejemplo, este diagrama muestra la estructura básica de una PAL para dos variables de entrada y una salida Componentes combinacionales básicos 76

77 Programación de una PAL El proceso de programación consiste en fundir los fusibles adecuados d para obtener la función deseada d Cuando se requiere la conexión entre una fila y una columna, el fusible correspondiente queda intacto Cuando dicha conexión no se requiere, el fusible se abre Componentes combinacionales básicos 77

78 PAL: Símbolos simplificados Las PAL reales son circuitos muy complejos, por lo que los fabricantes han adoptado d una notación simplificada para representarlos Para evitar cargar el diseño con demasiadas líneas de entrada se utilizan buffers de entrada, que generan tanto el valor de una variable ibl como su complemento Para evitar cargar el diseño con demasiadas filas, las entradas de las puertas AND se representan con una única línea horizontal Para representar los fusibles intactos se utiliza una X, mientras que para los fusibles fundidos no se indica nada Componentes combinacionales básicos 78

79 PAL: Símbolos simplificados Este diagrama muestra una expresión lógica en forma de suma de productos implementada con una PAL usando la notación simplificada Componentes combinacionales básicos 79

80 PAL: Lógica combinacional de salida Existen varios tipos de circuitos combinacionales i de salida: Una salida combinacional, usada para una suma de productos, que puede estar a nivel alto o bajo Una entrada/salida combinacional,,que se usa cuando la salida debe realimentarse a una entrada de la matriz Una salida de polaridad programable, que permite seleccionar la función de salida o su complemento usando una puerta XOR y un fusible que se elimina para invertir la salida Componentes combinacionales básicos 80

81 Matrices lógicas genéricas (GAL) Las GAL están formadas por una matriz de puertas AND reprogramable conectada a una matriz de puertas OR fija con una lógica de salida programable La matriz AND reprogramable está formada por una red de conductores, ordenados en filas y columnas, con una celda E 2 CMOS (Electrically Erasable CMOS) en cada punto de intersección en lugar de un fusible Cada fila de celdas está conectada a la entrada de una puerta AND y cada columna a una variable de entrada o a su complemento Componentes combinacionales básicos 81

82 Matrices lógicas genéricas (GAL) Una celda E 2 CMOS activada conecta de forma efectiva su correspondiente fila y columna, mientras que una celda desactivada no conecta la fila con la columna La principal ventaja de utilizar celdas E 2 CMOS en lugar de fusibles es que cada una de estas celdas se puede borrar y reprogramar eléctricamente Dependiendo de la tecnología, una celda E 2 CMOS típica puede permanecer en el estado en el que se la ha programado durante 20 años o más Componentes combinacionales básicos 82

83 Programación de una GAL Una GAL permite implementar cualquier expresión en forma de suma de productos con un número de variables definido id Por ejemplo, este diagrama muestra la estructura básica de una GAL para dos variables de entrada y una salida Componentes combinacionales básicos 83

84 Programación de una GAL El proceso de programación consiste en activar o desactivar cada celda E 2 CMOS con el objetivo de aplicar la combinación ió adecuada de variables a cada puerta AND y obtener la suma de productos Componentes combinacionales básicos 84

85 Programación de una GAL Las salidas de las puertas AND se introducen en macroceldas lógicas de salida (OLMC( Output Logic Macrocells) ) que contienen puertas OR y lógica programable Estas OLMC proporcionan mucha más flexibilidad que la lógica de salida fija de las PAL, convirtiendo las GAL en un tipo de dispositivo iti más versátil Componentes combinacionales básicos 85

86 Introducción Introducción Circuitos comparadores Codificación/Decodificación Selección/Distribución de datos Buses y salidas triestado Desplazamiento/Rotación Dispositivos iti programables Resumen y bibliografía Componentes combinacionales básicos 86

87 Resumen La reutilización de módulos combinacionales previamente diseñados d resulta ventajosa para la implementación ió de circuitos it digitales Existe un gran número de estos módulos combinacionales que se han usado frecuentemente en los diseños y que se siguen usando, por lo que es importante t conocer su estructura t y funcionamiento i Además, el diseño modular nos permite realizar abstracciones jerárquicas de los componentes del circuito, haciendo posible la implementación de circuitos digitales complejos Componentes combinacionales básicos 87

88 Bibliografía Principios de Diseño Digital Capítulo 5 Daniel D. Gajski Prentice Hall, 1997 Fundamentos de Sistemas Digitales (7ª edición) Capítulos 6 y 7 Thomas L. Floyd Prentice Hall, 2000 Componentes combinacionales básicos 88

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos Bloques para el encaminamiento y/o transferencia de datos Multiplexor Demultiplexor Decodificador Codificador Bloques para el procesamiento de datos Comparador Bloques para la generación de funciones booleanas

Más detalles

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES Fundamentos de Computadores. Sistemas Combinacionales Programables. T9-1 Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES INDICE: INTRODUCCIÓN CLASIFICACION DE LOS SCP SISTEMAS COMBINACIONALES PROGRAMABLES

Más detalles

SUBSISTEMAS COMBINACIONALES. Tema 4: SUBSISTEMAS COMBINACIONALES

SUBSISTEMAS COMBINACIONALES. Tema 4: SUBSISTEMAS COMBINACIONALES Tema 4: SUBSISTEMAS COMBINACIONALES Contenido * Circuitos integrados MSI/LSI. * Subsistemas de propósito específico: * decodificadores, codificadores, convertidores de código * codificadores de prioridad

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

Bloques estandarizados

Bloques estandarizados Bloques estandarizados Mario Medina C. mariomedina@udec.cl Más allá de las puertas lógicas Complejidad creciente de diseños hace necesario buscar nuevos niveles de abstracción por sobre las compuertas

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos FUNDAMENTOS DE SISTEMAS DIGITALES Tema 3: Lógica combinacional (II): Ruta de datos 1 Programa 1. Circuitos selectores de datos (multiplexores). 2. Demultiplexores. 3. Codificadores con prioridad. 4. Amplificadores

Más detalles

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Se emplean para: Transformación de datos Operaciones aritméticas (suma, resta...)

Más detalles

Tema 3. Tema 3: Lógica Combinacional (II): Ruta de Datos.

Tema 3. Tema 3: Lógica Combinacional (II): Ruta de Datos. Tema 3 Tema 3: Lógica Combinacional (II): Ruta de Datos. 6.1 Circuitos selectores de datos (Multiplexor) Multiplexor = circuito con N entradas, 1 salida y n patillas de selección, tal que 2 n =N. Con

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

4.1. Circuitos Digitales Configurables

4.1. Circuitos Digitales Configurables 4.1. Circuitos Digitales Configurables Los circuitos digitales configurable son sistemas electrónicos digitales cuya función se puede modificar utilizando solamente una parte de los elementos que los componen

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS. 1. Introducción. 2. Dispositivos lógicos programables.

TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS. 1. Introducción. 2. Dispositivos lógicos programables. T-5 Dispositivos lógicos programables. Memorias. TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS.. Introducción. Las modernas técnicas de circuitos integrados permiten combinar miles e incluso millones

Más detalles

TEMA 1. Sistemas Combinacionales.

TEMA 1. Sistemas Combinacionales. TEMA. Sistemas Combinacionales.. Introducción a los sistemas digitales. Familias lógicas (2-20) 2. Definición de circuito combinacional (2-25) 3. Funciones combinacionales. Simplificación e implementación

Más detalles

Circuitos combinacionales. Funciones integradas

Circuitos combinacionales. Funciones integradas Circuitos combinacionales. Funciones integradas Salvador Marcos González salvador.marcos@uah.es Funciones integradas Introducción La introducción en el diseño de sistemas digitales de circuitos MSI (media

Más detalles

Sistemas Combinacionales

Sistemas Combinacionales Sistemas Combinacionales Tipos de Sistemas Digitales Puertas Lógicas Bloques Combinacionales Multiplexores Decodificadores/demultiplexores Decodificadores BCD a 7 segmentos Codificadores Comparadores Sumadores

Más detalles

TEMA 3 BLOQUES COMBINACIONALES.

TEMA 3 BLOQUES COMBINACIONALES. TEMA 3 BLOQUES COMBINACIONALES. Objetivos. Describir la diferencia entre circuitos combinacionales y secuenciales. Interpretar la función de un multiplexor, un demultiplexor, un codificador y un decodificador.

Más detalles

Circuitos combinacionales

Circuitos combinacionales Circuitos combinacionales Luis ntrena, Celia López, Mario García, nrique an Millán Universidad Carlos III de Madrid Circuitos combinacionales y secuenciales l Combinacionales: alida depende sólo de la

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería de Computadores Tecnología de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

FUNDAMENTOS DE COMPUTADORES Ejercicios U2: Circuitos Combinacionales

FUNDAMENTOS DE COMPUTADORES Ejercicios U2: Circuitos Combinacionales U_. Se desea transmitir las primeras cuatro letras del alfabeto de un ordenador ORD a otro ORD. En el primero las cuatro letras están codificadas en tres líneas X, X y X y en el segundo tan sólo en dos,

Más detalles

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras 1121025 Arquitecaura de Computadoras - Introducción a la Arquitectura de Computadoras Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37 ÍNDICE LISTA DE FIGURAS... 7 LISTA DE TABLAS... 11 CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN... 13 1.1. REPRESENTACIÓN DE LA INFORMACIÓN... 15 1.2. SISTEMAS DE NUMERACIÓN BINARIO NATURAL Y HEXADECIMAL... 18 1.3.

Más detalles

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Componentes combinacionales básicos

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Componentes combinacionales básicos sicosoliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 14. Componentes combinacionales básicos valor salida combinacionales depende única y son

Más detalles

Electrónica Digital: Sistemas Numéricos y Algebra de Boole

Electrónica Digital: Sistemas Numéricos y Algebra de Boole Electrónica Digital: Sistemas Numéricos y Algebra de Boole Profesor: Ing. Andrés Felipe Suárez Sánchez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: andres.suarez@correounivalle.edu.co

Más detalles

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica Problemas propuestos en examen PROBLEMAS TEMA 4: Unidad Aritmético Lógica 4.1 Se desea realizar una Unidad Aritmético Lógica que realice dos operaciones, suma y comparación de dos números X (x 2 ) e Y

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores

Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 1: Introducción Tema 2: Sistema binario de representación numérica Pablo Huerta Pellitero ÍNDICE Bibliografía.

Más detalles

Circuitos Combinatorios

Circuitos Combinatorios UNIDAD 5 Circuitos Combinatorios Introducción a la unidad Los circuitos combinatorios o circuitos combinacionales transforman un conjunto de entradas en un conjunto de salidas de acuerdo con una o más

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

BLOQUE 2 (PARTE 2) DISPOSITIVOS LÓGICOS PROGRAMABLES

BLOQUE 2 (PARTE 2) DISPOSITIVOS LÓGICOS PROGRAMABLES SISTEMAS ELECTRÓNICOS DIGITALES BLOQUE 2 CIRCUITOS DIGITALES CONFIGURABLES (PARTE 2) DISPOSITIVOS LÓGICOS PROGRAMABLES Enrique Mandado Pérez María José Moure Rodríguez DEFINICIÓN DE DISPOSITIVO LÓGICO

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Enunciados de problemas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Tema 3: Hoja: 2 / 18 Tema 3: Hoja: 3 / 18 Base teórica

Más detalles

Arquitectura de Computadoras 2015 Práctico 03. Práctico 3. Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios.

Arquitectura de Computadoras 2015 Práctico 03. Práctico 3. Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios. Práctico 3 Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios. Objetivo Conocer y entrenarse en las técnicas para la construcción de circuitos combinatorios de mediano porte. Conocer

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores)

Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores) Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores) CONCEPTOS PREVIOS MULTIPLEXORES: Los multiplexores son circuitos combinacionales con varias entradas y una salida de datos, y están

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

Circuitos Electrónicos Digitales. Subsistemas Combinacionales. Departamento de Tecnología Electrónica Universidad de Sevilla

Circuitos Electrónicos Digitales. Subsistemas Combinacionales. Departamento de Tecnología Electrónica Universidad de Sevilla Circuitos Electrónicos Digitales Subsistemas Combinacionales Índice.Subsistemas combinacionales 2.Subsistemas de propósito específico 3.Subsistemas de propósito general Subsistemas Combinacionales Facilitan

Más detalles

ELECTRÓNICA. Unidad 2: Circuitos combinacionales. Primera Parte

ELECTRÓNICA. Unidad 2: Circuitos combinacionales. Primera Parte ELECTRÓNICA Unidad 2: Circuitos combinacionales Primera Parte Unidad 2: Circuitos combinacionales 1. Introducción a los circuitos combinacionales. 2. Codificadores y decodificadores. 2.1. Codificadores

Más detalles

FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN

FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN LISTADO DE PRÁCTICAS CURSO 2005/2006 Practicas de Fundamentos de Computadores (05/06) 2 Práctica 1 Construcción de Funciones Lógicas

Más detalles

Fundamentos de Computadores. Subsistemas Combinacionales

Fundamentos de Computadores. Subsistemas Combinacionales Fundamentos de Computadores Subsistemas Combinacionales Objetivos Conceptuales: Escalabilidad de los diseños Diseño modular Diseños alternativos Subsistemas de propósito específico Subsistemas de propósito

Más detalles

Tema 5: Álgebra de Boole Funciones LógicasL

Tema 5: Álgebra de Boole Funciones LógicasL Tema 5: Álgebra de Boole Funciones LógicasL Ingeniería Informática Universidad Autónoma de Madrid 1 Álgebra de Boole.. Funciones LógicasL O B J E T I V O S Conocer el Álgebra de Boole, sus teoremas y las

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

Electrónica. Diseño lógico. Fundamentos en electrónica digital. Héctor Arturo Flórez Fernández

Electrónica. Diseño lógico. Fundamentos en electrónica digital. Héctor Arturo Flórez Fernández Electrónica Diseño lógico Fundamentos en electrónica digital Héctor Arturo Flórez Fernández Flórez Fernández, Héctor Arturo Diseño lógico: fundamentos de electrónica digital / Héctor Arturo Flórez Fernández.

Más detalles

Módulos combinacionales básicos. Tema 7

Módulos combinacionales básicos. Tema 7 Módulos combinacionales básicos Tema 7 Qué sabrás al final del capítulo? Funcionamiento de los módulos combinacionales básicos: Codificadores Decodificadores Multiplexores Demultiplexores Redes de módulos

Más detalles

IMPLEMENTACIÓN DE CIRCUITOS COMBINACIONALES

IMPLEMENTACIÓN DE CIRCUITOS COMBINACIONALES IMPLEMENTACIÓN DE CIRCUITOS COMBINACIONALES SIMPLIFICACIÓN DE FUNCIONES LÓGICAS Para implementar mediante un circuito digital formado por puertas lógicas una función lógica el primer paso consiste en realizar

Más detalles

Tema 3. 2 Sistemas Combinacionales

Tema 3. 2 Sistemas Combinacionales Tema 3. 2 Sistemas Combinacionales Índice Circuitos combinacionales: concepto, análisis y síntesis. Métodos de simplificación de funciones lógicas. Estructuras combinacionales básicas Multiplexores Demultiplexores

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - ITEM DIGITLE Tema 6: Funciones de la lógica combinacional Nombre del curso: istemas Digitales Nombre del docente: Héctor Vargas OBJETIVO DE L UNIDD Distinguir entre semi-sumadores y sumadores

Más detalles

3 - DISEÑO RTL. Existen dos tipos de descripciones a nivel de comportamiento en Verilog:

3 - DISEÑO RTL. Existen dos tipos de descripciones a nivel de comportamiento en Verilog: 3 - DISEÑO RTL Un diseño a nivel funcional o de comportamiento (behavioral description) permite describir circuitos digitales atendiendo únicamente a las relaciones existentes entre las entradas y salidas

Más detalles

5.2. Sistemas de codificación en binario

5.2. Sistemas de codificación en binario 5.2. Sistemas de codificación en binario 5.2.1. Sistemas numéricos posicionales [ Wakerly 2.1 pág. 26] 5.2.2. Números octales y hexadecimales [ Wakerly 2.2 pág. 27] 5.2.3. Conversión general de sistemas

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y Introducción Circuitos Bloques Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires

Más detalles

Informática Técnica 0, 1, 2, 3, 4, 5, 6, 7, 8, 9

Informática Técnica 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 SISTEMAS NUMÉRICOS Desde luego que todos estaremos de acuerdo si decimos que la primera 'operación' aritmética que realizó el hombre fue la de contar. La necesidad de contar, le llevó a idear un sistema

Más detalles

Implementación de una unidad procesadora de cuatro bits, como material didáctico

Implementación de una unidad procesadora de cuatro bits, como material didáctico Implementación de una unidad procesadora de cuatro bits, como material didáctico Ángel Eduardo Gasca Herrera Universidad Veracruzana Agasca3@hotmail.com Jacinto Enrique Pretelin Canela Universidad Veracruzana

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

circuitos digitales números binario.

circuitos digitales números binario. CIRCUITOS DIGITALES Vamos a volver a los circuitos digitales. Recordemos que son circuitos electrónicos que trabajan con números, y que con la tecnología con la que están realizados, estos números están

Más detalles

APOYO PARA EL LOGRO DEL PRIMER APRENDIZAJE ESPERADO: CONCEPTOS PREVIOS

APOYO PARA EL LOGRO DEL PRIMER APRENDIZAJE ESPERADO: CONCEPTOS PREVIOS Profesor/a(s) Nivel o Curso/s 4º Ramon Flores Pino Unidad/Sub Unidad 2.- Circuitos de lógica Combinacional Contenidos 1 Compuertas lógicas 2. Enfoque de problemas, 3.- Codificadores y decodificadores GUÍA

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Dr. Marcelo Risk Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires 2017 Lógica

Más detalles

Tabla 5.2 Compuertas básicas A B A B A B

Tabla 5.2 Compuertas básicas A B A B A B Compuertas lógicas Un bloque lógico es una representación simbólica gráfica de una o más variables de entrada a un operador lógico, para obtener una señal determinada o resultado. Los símbolos varían de

Más detalles

SIMPLIFICACIÓN DE FUNCIONES LÓGICAS

SIMPLIFICACIÓN DE FUNCIONES LÓGICAS LABORATORIO # 4 Realización: SIMPLIFICACIÓN DE FUNCIONES LÓGICAS 1. OBJETIVOS Los objetivos de este laboratorio es que Usted, aprenda a: Simplificar funciones utilizando mapas de Karnaugh Utilizar compuertas

Más detalles

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI Sistemas Digitales TRABAJO PRÁCTICO Nº 4 Sistemas combinacionales MSI Ejercicio Nº 1: Se desea transmitir datos de dos bits con control de paridad impar. Utilice un decodificador para diseñar un circuito

Más detalles

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs)

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Objetivos: 1.- Conocer la función, características básicas y tipos de memorias. 2.- Conocer la función, características principales y aplicaciones

Más detalles

Unidad Didáctica. Codificadores

Unidad Didáctica. Codificadores Unidad Didáctica Codificadores rograma de Formación bierta y Flexible Obra colectiva de FONDO FORMACION Coordinación Diseño y maquetación Servicio de Producción Didáctica de FONDO FORMACION (Dirección

Más detalles

Unidad Didáctica 6 Electrónica Digital 4º ESO

Unidad Didáctica 6 Electrónica Digital 4º ESO Unidad Didáctica 6 Electrónica Digital 4º ESO ELECTRÓNICA DIGITAL SEÑALES ELECTRICAS LÓGICA BINARIA CIRCUITOS INTEGRADOS DIGITALES DISEÑO DE CTOS. COMBINACIONALES Y CTOS. IMPRESOS TIPOS SISTEMAS DE NUMERACIÓN

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Lógicos Combinatorios Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Combinatorios Un circuito combinatorio es un arreglo de compuertas lógicas con un conjunto de entradas y salidas.

Más detalles

El diseño con PLDs señala las siguientes ventajas en relación a la lógica cableada:

El diseño con PLDs señala las siguientes ventajas en relación a la lógica cableada: Diseño Lógico Hoy Día La mayor parte de los diseños de nivel de sistema incorporan diversos dispositivos, como son las memorias RAM, ROM, controladores, procesadores, etc., que se interconectan mediante

Más detalles

plicación de los circuitos SUMADOR DIBITAL S C

plicación de los circuitos SUMADOR DIBITAL S C plicación de los circuitos ógicos A B SUMADOR DIBITAL S C Aplicaciones de los circuitos lógicos Algunas aplicaciones elementales como los circuitos aritméticos digitales y los codificadores y decodificadores,

Más detalles

CIDEAD.2º BACHILLERATO. Tecnología Industrial II Tema 2.- Circuitos combinacionales

CIDEAD.2º BACHILLERATO. Tecnología Industrial II Tema 2.- Circuitos combinacionales Desarrollo del tema.. Introducción. 2. Los circuitos combinacionales. Sus aplicaciones. 3. Los circuitos secuenciales. Sus aplicaciones. 4. La tabla de las fases. 5. iestables asíncronos. iestables R-S

Más detalles

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales.

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales. Otros circuitos digitales En esta unidad aprenderás: El funcionamiento de los codificadores y decodificadores Multiplexor y Demultiplexor Convertidor Digital-Análogo y Análogo-Digital UNIDAD 4 Actividad

Más detalles

Tema 3: Operaciones aritméticas y lógicas

Tema 3: Operaciones aritméticas y lógicas Tema 3: Operaciones aritméticas y lógicas S Suma-resta en base dos S Operaciones lógicas: OR, AND, XOR y NOT S Operaciones de desplazamiento S Suma-resta en los diferentes sistemas de representación de

Más detalles

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas Electrónica Digital Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández 2001 Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas UNIVERSIDAD DE LA LAGUNA ii ÍNDICE Lección 0. Introducción...1

Más detalles

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES Ejercicio PAU Septiembre 2010/2011 a) Rellenamos la tabla de la verdad colocando salidas 1 en las posiciones indicadas: Posición a b c d f 0 0 0

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

Tema 5 Subsistemas Combinacionales

Tema 5 Subsistemas Combinacionales Tema 5 Subsistemas Combinacionales ------------------------------------------------------------------------- Usted es libre de copiar, distribuir y comunicar públicamente la obra y de hacer obras derivadas

Más detalles

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales Organización de Computadoras 2003 Apunte 5: Circuitos Lógicos Secuenciales Introducción: En el desarrollo de los sistemas digitales es fundamental el almacenamiento de la información, esta característica

Más detalles

Sistemas Combinacionales y Sistemas Secuenciales

Sistemas Combinacionales y Sistemas Secuenciales y Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 y 1 2 3 y Contenido Al hablar de sistemas, nos referimos

Más detalles

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL 11 1) Cuántas funciones de conmutación diferentes se pueden definir con 3 variables binarias? a) 8. b) 9. c) depende del problema en concreto. d) 256. 2)

Más detalles

TRABAJO PRÁCTICO Nº 3. Expresiones booleanas, tablas de verdad y compuertas lógicas

TRABAJO PRÁCTICO Nº 3. Expresiones booleanas, tablas de verdad y compuertas lógicas Sistemas Digitales TRABAJO PRÁCTICO Nº 3 Expresiones booleanas, tablas de verdad y compuertas lógicas Ejercicio Nº 1: Dadas las siguientes funciones: F ( A, B, C, D) = C.( D + A) + A. C.( B + D 1 ) F 2

Más detalles

Universidad Autónoma de Baja California

Universidad Autónoma de Baja California Universidad Autónoma de Baja California Facultad de Ingeniería, Arquitectura y Diseño Práctica de laboratorio Programa educativo Plan de estudio Clave asignatura Nombre de la asignatura Bioingeniería 2009-2

Más detalles

8. Multiplexores Digitales

8. Multiplexores Digitales 8. Multiplexores Digitales El multiplexor permite seleccinar del total de líneas de entrada una única y trasladar la información que conlleva dicha línea a la salida del circuito. Suele utilizarse para

Más detalles

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI Guía de preparación para el examen ELECTRONICA CxTx En esta materia básicamente se evalúan temas tales como son: MULTIVIBRADORES, MEMORIAS, CONTADORES Y COMPUERTAS LOGICAS, SUMADOR RESTADOR Y MICROPOCESADORES

Más detalles

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R.

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Arquitecturas de Computadores 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Temario 1. Introducción 2. Sistemas Combinacionales (SC) 3. Implantación de SC mediante PLA 4. Sistemas Secuenciales

Más detalles

Asignaturas antecedentes y subsecuentes

Asignaturas antecedentes y subsecuentes PROGRAMA DE ESTUDIOS DISEÑO DE SISTEMAS DIGITALES I Área a la que pertenece: Área de Formación Transversal Horas teóricas: 3 Horas prácticas: 2 Créditos: 8 Clave: F0142 Asignaturas antecedentes y subsecuentes

Más detalles

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 DOCENTE(S) DEL AREA:NILSON YEZID VERA CHALA COMPETENCIA: USO Y APROPIACION DE LA TECNOLOGIA NIVEL DE COMPETENCIA: INTERPRETATIVA

Más detalles

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos Fundamentos de Computadores Tema 5 Circuitos Aritméticos OBJETIVOS Conceptuales: Suma y resta binaria Implementaciones hardware/software Circuito sumador y semi-sumador básico Sumadores/restadores de n

Más detalles

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla Circuitos electrónicos digitales Unidades Aritméticas Lógicas Índice Introducción Circuitos sumadores básicos Sumador paralelo de n bits Sumador/Restador Unidad aritmético-lógica (ALU) Introducción Los

Más detalles

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción INDICE Prologo XIII Introducción XV 1. Introducción a la técnica digital 1.1. Introducción 1 1.2. Señales analógicas y digitales 1.2.1. Señales analógicas 1.2.2. Señales digitales 2 1.3. Procesos digitales

Más detalles

MULTIPLEXORES Y DEMULTIPLEXORES

MULTIPLEXORES Y DEMULTIPLEXORES Universidad Nacional de Quilmes Diplomatura de Ciencia y Tecnología MULTIPLEXORES. TÉCNICAS DIGITALES MULTIPLEXORES Y DEMULTIPLEXORES Un circuito selector de datos/multiplexor (figura 1) presenta k entradas

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

Bloques Aritméticos - Multiplicadores

Bloques Aritméticos - Multiplicadores Bloques Aritméticos - Multiplicadores La multiplicación es una operación cara (en términos de recursos) y lenta Este hecho ha motivado la integración de unidades completas de multiplicación en los DSPs

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

Carrera: ECC Participantes Representante de las academias de ingeniería electrónica de los Institutos Tecnológicos.

Carrera: ECC Participantes Representante de las academias de ingeniería electrónica de los Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Electrónica Digital I Ingeniería Electrónica ECC-0416 4-2-10 2.- HISTORIA DEL PROGRAMA

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

2. Números naturales: sistema de numeración y operaciones básicas

2. Números naturales: sistema de numeración y operaciones básicas INTRODUCCIÓN A LOS COMPUTADORES 2003-2004 Objetivos Formativos Que el alumno sea capaz de: Comprender el funcionamiento y saber diseñar los circuitos digitales combinacionales y secuenciales que se utilizan

Más detalles

TRABAJO PRÁCTICO Nº 3. Expresiones booleanas, tablas de verdad y compuertas lógicas

TRABAJO PRÁCTICO Nº 3. Expresiones booleanas, tablas de verdad y compuertas lógicas Sistemas Digitales TRABAJO PRÁCTICO Nº 3 Expresiones booleanas, tablas de verdad y compuertas lógicas Ejercicio Nº 1: Dadas las siguientes funciones: F ( A, B, C, D) C.( D A) AC..( B D 1 ) F2 ( A, B, C,

Más detalles