Estimación de Armónicos sobre FPGA Aplicando Estadística de Orden Superior y Convolución

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Estimación de Armónicos sobre FPGA Aplicando Estadística de Orden Superior y Convolución"

Transcripción

1 RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 Estiació de Aróicos sobre FPGA Aplicado Estadística de Orde Superior y ovolució Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RESUMEN / ABSTRAT E este trabajo se propoe la ipleetació sobre FPGA de u odelo cojuto que aplica las características estadísticas cuulates de orde superior cobiadas a u proceso de covolució lo cual perite obteer las copoetes espectrales co su aplitud frecuecia y fase origiales cotaiadas por u proceso de ruido de distribució oral aú descoociedo la señal de etrada. El aporte fudaetal del iso radica e la ipleetació del odelo propuesto sobre ua arquitectura copletaete paralela para su uso e aplicacioes e tiepo real e tareas de reducció de ruido y detecció de señales. Los resultados obteidos altaete satisfactorios deuestra la efectividad de la utilizació de características estadísticas de orde superior y su cobiació co procesaieto de segudo orde covolució para la cacelació de ruido y estiació de paráetros etre otras tareas. Palabras claves: uulates FPGA Estiació acelació de Ruido This work is about the FPGA ipleetatio of a joit odel that applies higher-order statistical characteristics cuulats cobied with a covolutio process which allows to obtai the spectral copoets with their aplitude frequecy ad phase origials cotaiated by a oise process of oral distributio eve ot kowig the iput sigal. The ai cotributio of this work is the ipleetatio of the proposed odel o a fully parallel architecture for use i real-tie applicatios i oise cacellatio ad sigal detectio. The results obtaied highly satisfactory deostrate the effectiveess of the use of higher order statistical characteristics ad its cobiatio with the secod order processig covolutio for oise reductio ad paraeters estiatios aog other tasks. Key words: uulats FPGA Estiatio Noise acellatio HARMONIS ESTIMATION ON FPGA APPLYING HIGHER-ORDER STATISTIS AND ONVOLUTION INTRODUION Las fluctuacioes de u proceso de señal copletaete aleatorio o la distribució de ua clase de señales aleatorias e el espacio o puede ser odeladas por ua ecuació predictiva pero puede describirse e térios de los estadísticos de la señal y odelados por ua fució de distribució de probabilidad e u espacio de señal ultidiesioal []. Nuerosos étodos ha sido desarrollados buscado precisaete la etracció de señales perturbadoras etre los cuales destaca los que se basa e el procesaieto estadístico de la señal.

2 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 E la actualidad se ha reportado étodos de pro-cesaieto de señal basados e espectros de orde superior los cuales auque coserva la iforació de fase [] [] su coplejidad coputacioal o los hace viables para solucioes e la práctica ya que las características espectrales de orde superior so fucioes que se aeja e plaos ultidiesioales. Adeás de estos eiste otros étodos coo los llaados PEP Phase Estiatio usig Polyspectru Slice [] utilizados para la recostrucció de la fase e sisteas LTI Liear Tie Ivariat lo cual ve liitados estos odelos para señales e bada base afectadas por ruido cuya iforació de aplitud frecuecia y fase se descooce. Hoy e día los sisteas electróicos oderos deada cada vez ayor capacidad de cóputo. Actualete los FPGAs ofrece gra catidad de recursos al diseñador illoes de puertas lógicas equivaletes bloques de eoria bloques DSP e icluso las ás oderas icluye uo o varios procesadores detro de la propia FPGA co arquitectura copletaete paralela lo cual puede ser de gra utilidad e tareas de reducció o cacelació ruido idetificació de sisteas y detecció de señales a la hora de calcular la fució o fucioes correspodietes del proceso de señal dado las cuales puede cosuir ecesivaete deasiado tiepo e su ejecució. El presete trabajo uestra la ipleetació sobre FPGA de u odelo cojuto de estiació de paráetros basado e estadística de orde superior y u proceso de covolució coo etodología para la aceleració del cóputo de fucioes estadísticas de orde superior y su posterior aplicació para sisteas de procesaieto de señales e tiepo real. El trabajo se ha orgaizado de la siguiete aera: coo parte del desarrollo del trabajo cada ua de las seccioes eplicará los aspectos geerales sobre la estiació basada e estadísticos de orde superior de la señal adeás de las solucioes propuestas y los resultados obteidos de la ivestigació cojutaete co las plataforas de trabajo utilizadas para fialete epoer las coclusioes de la ivestigació y las referecias cosultadas. ASPETOS TEÓRIOS GENERALES SOBRE ESTADÍSTIOS DE ORDEN SUPERIOR Eiste varias otivacioes geerales detrás del uso de la estadística de orde superior e el procesaieto de señales detro de las cuales destaca [5]: Eliiar el ruido coloreado aditivo gaussiao de espectro de potecia descoocida. Idetificar los sisteas de fase o íia o recostrucció de señales de fase o íia. Etraer la iforació debido a las desviacioes de Gaussiaidad. Detectar y caracterizar las propiedades o lieales de las señales así coo idetificar los sisteas o lieales. Sea etoces eiste luego { X k} k 0... ua señal real estacioaria discreta e el tiepo dode sus oetos de orde superior... E{ X k X k... X k } represeta el oeto de orde de ua señal estacioaria el cual depede solo de los diferetes espacios de tiepo... i 0... fució de autocorrelació de para todo i. laraete se puede apreciar que el oeto de segudo orde { X k} del iso odo que y es la represeta los oetos de tercero y cuarto orde respectivaete. E{.} deota el operador valor esperado de la señal [5]. Luego a partir de esto se puede decir que el cuulate de orde de ua señal aleatoria o gaussiaa estacioaria puede escribirse solaete para = o = coo: { X k} 9

3 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: G Dode... G es el oeto de orde de ua señal gaussiaa equivalete que presete el iso valor edio y fució de autocorrelació que } { k X. Si } { k X es Gaussiaa... =... G por tato Auque la ecuació es solo verdadera para = y = 0... para todo si } { k X es gaussiao. - uulate de uarto Orde 6 ] [ ] [ c Si la señal } { k X tiee edia cero 0 los cuulates de segudo y tercer orde so idéticaete iguales a los oetos de segudo y tercer orde respectivaete. Si ebargo para geerar el cuulate de cuarto orde se ecesita cotar co los oetos de segudo y cuarto orde e la ecuació. c ESTIMAIÓN DE SEÑALES BASADA EN LA APLIAIÓN DE ESTADÍSTIA DE ORDEN SUPERIOR Para el caso real e u problea de reducció del ruido para ua señal dada copoete aróica los datos observados puede ser descritos de la fora: cos t w t w t ft A t y 5 Dode t es la señal útil para este caso cos ft A y w t es el ruido aditivo. Adeás A f y represeta la aplitud frecuecia y fase de la señal correspodiete. 50

4 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 De lo tratado e [5] el cuulate de segudo orde de u proceso de ruido es distito de cero. Por esta razó para cacelar ruido se pudiera estiar el cuulate de tercer orde para el proceso descrito e 5 si ebargo eiste la probleática de que para ua señal cosiusoidal su cuulate de orde es cero [6]. Adeás se puede coprobar que para fucioes cuya desidad probabilística sea siétrica el resultado del cuulate de tercer orde es siepre cero [7]. Por esta razó e esta ivestigació se trabajará co la estiació del cuulate de cuarto orde. ESTIMAIÓN DEL UMULANTE DE UARTO ORDEN El cuulate de cuarto orde del proceso descrito e 5 se puede calcular de la siguiete aera: y w 6 Si se trabaja solaete co la copoete uidiesioal del cuulate de cuarto orde de la señal que se llega al iso resultado epuesto e [5] haciedo coicidir se puede otar lo cual co ta solo graficar esta copoete se puede recuperar los paráetros fudaetales aplitud y frecuecia de la uestra útil de señal auque o se recupera la fase. Esto puede ser aprovechado a la hora de aplicar este étodo e plataforas hardware tales coo FPGAs icrocotroladores DSP etre otros. Luego si se hace se puede obteer lo siguiete: E{ t t t t } 0 00 E{ t t } A cos w k 0 El tério para u proceso de valor edio igual a cero correspode a la fució de autocorrelació de la señal lo cual para el caso de aróicos reales es A cos w k y el tério 0 correspode a la variaza de la señal que para ua uestra cosiusoidal correspode a A lo cual represeta la potecia de la señal. Luego desarrollado la ecuació y toado coo señal t declarada e 5 se tiee: 5

5 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 E t t } g f d { A wkt A wkt wk d cos cos A cos w k 9 Sustituyedo e la ecuació 0 el resultado obteido e la epresió 9 se obtiee: A A 00 cos wk cos wk 0 Lo que da coo resultado de aera siilar a lo obteido e [5]: A 00 cos wk oo wt se cosidera ua señal aleatoria co distribució oral w osiderado el resultado obteido e la ecuació se puede cocluir que se obtiee la aplitud y frecuecia de la copoete espectral origial auque se preseta la probleática de la pérdida de la fase de la isa lo cual será tratado a cotiuació. MÉTODO DE REUPERAIÓN DE FASE De lo tratado e la secció aterior se observa la pérdida de la fase origial de la copoete espectrale dado coo resultado la ecuació. E este trabajo se propoe coo solució para recuperar la fase realizar la covolució de la señal cotaiada iicial co la salida obteida tras evaluar la copoete uidiesioal del cuulate de cuarto orde ver figura. 0. Figura. Esquea geeral del odelo de estiació de paráetros propuesto 5

6 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 Haciedo u acercaieto teórico para deostrar la efectividad del odelo propuesto se tiee: a t Acos wkt w t obteida de evaluar 00 coo la uestra iicial de señal cotaiada y A b cos wk. De acuerdo co el teorea de la covolució de dos señales defiido por: coo la salida ov ab li T T T / T / a t b t dt ov ab T / [ Acos wkt w t] T / A [ T / T / T / T / cos wk t w ] dt A A A 5 cos w 6 k 5 k cos w t k cos w t w w t dt k k cos w t w dt k k oo se puede apreciar e la ecuació se ha logrado obteer la señal origial co su aplitud frecuecia y fase respectivaete. Para coprobar eperietalete y e la práctica el resultado obteido se lleva a cabo la ipleetació de este odelo sobre ua arquitectura FPGA. IMPLEMENTAIÓN SOBRE FPGA A partir de lo ecioado ateriorete se iicia la ipleetació de la arquitectura para el odelo propuesto segú los paráetros de señal para los cuales el sistea deberá respoder de acuerdo a las características de ruido presete e la señal útil a detectar. Todo el sistea fue sitetizado ediate la arquitectura FPGA de Xili SPARTAN-AN la cual posee eleetos lógicos 0 ultiplicadores ebebidos y u reloj etero de 50 MHz frecuecia base co la cual se trabaja el diseño. abe resaltar que toda la ipleetació fue descrita ediate código VHDL haciedo de este proyecto reutilizable e cualquier otra arquitectura FPGA dispoible. Se decidió eplear coo arquitectura hardware u FPGA y o u sistea secuecial para disiuir el tiepo de procesaieto del sistea e geeral y paralelizar cada ua de las fucioes ipleetadas ya que estas obedece a ua lógica secuecial desde el puto de vista ateático lo cual su ipleetació ediate HDL acelera cosiderableete su copilació. Este aspecto coparativo se ostrará as adelate El etoro de desarrollo ISE se utilizó para geerar el algorito correspodiete a la copoete uidiesioal del cuulate de cuarto orde de la señal así coo para el cálculo de la covolució adeás de la arquitectura hardware de cotrol que copoe el sistea para la estiació de paráetros. Sobre esta platafora cojutaete se ejecutó y verificó todo el diseño icluyedo tato las señales siuladas coo las reales utilizadas geeradas estas últias ediate u dispositivo etero. 5

7 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 ARQUITETURA DE DISEÑO Para el diseño del sistea se utilizó ua arquitectura de segetació paralela para el procesaieto de los datos digitalizados ediate u sistea costituido por u ódulo de coversió aalógico digital AD PMOD AD de Digilet. Ic []. De este ódulo se utiliza uo de los dos ADs AD776A que este cotiee iteraete. Mediate el étodo de uestreo uifore e tiepo real se obtiee las uestras digitales correspodietes a la señal de etrada del sistea para ser pasadas a la próia etapa de procesaieto. El PMOD AD tiee iteraete dos filtros paso bajo uo por cada caal de coversió de 500 khz de acho de bada de aera que la señal ates de etrar al coversor que se va a utilizar pasa por este filtro co el fi de que o aparezca el deoiado efecto aliassig. La señal aalógica a covertir está e el rago de 0 V a. V siedo este últio el voltaje de referecia del coversor tratado cuya resolució es de bits y su áia frecuecia de uestreo de MHz siedo esta últia la frecuecia a la cual se realizó el eperieto. Todo el sistea está codicioado por la señal Iicio figura la cual da coiezo al proceso. Esta señal posee u ciclo de repetició siepre iferior a la frecuecia de uestreo utilizada y se activa durate pulso estrecho positivo equivalete a la frecuecia base de trabajo del sistea para este caso de 50 MHz. Ua vez digitalizadas las 0 uestras e la eoria RAM de etrada este ódulo eite ua señal de copletaieto o Full figura la cual es cotrolada ediate el bloque FSM de otrol figura. Este ódulo es el ecargado de gestioar todo el proceso de etracció de datos desde la eoria RAM de etrada y hacia el ódulo Bloque de álculo que efectúa la operació para la obteció de la fució de autocorrelació de la señal y del tério izquierdo de la copoete uidiesioal del cuulate de cuarto orde y TI. Las uestras obteidas a la salida de este ódulo so eviadas hacia dos eorias RAM de salida hasta obteer el úero áio de uestras perisibles por cada ua para este caso 0. El cotrol de estas eorias se realiza ediate el bloque FSM de álculo y otrol el cual garatiza la fucioalidad de las operacioes pertietes así coo el copletaieto del cálculo de la copoete y 00 correspodiete uo al resultado de y del cuulate de cuarto orde. Efectuado la resta etre los dos térios de la ecuació y TI y el otro al resultado de evaluar obteida por el terio 0 correspodiete a la potecia de la señal de etrada. y y ultiplicar cada uestra Ua vez cocluida esta etapa se obtiee a la salida del ódulo FSM de álculo y otrol las uestras correspodietes co las copoetes de aplitud y frecuecia origiales o así la copoete de fase la cual se obtedrá posteriorete ediate el proceso de covolució. Los datos obteidos a la salida del bloque FSM de álculo y otrol so alaceados e ua eoria RAM hasta teer su copletaieto. El proceso de lleado de la eoria RAM se cotrola ediate el ódulo Bloque FSM de otrol a través de ua señal de tipo Full la cual eite u pulso positivo cuado se ha alaceado las 0 uestras de dato correspodiete. Al activarse la señal Full coieza el proceso de covolució etre la salida correspodiete a la copoete uidiesioal del cuulate de cuarto orde y la señal de etrada el cual es efectuado por el ódulo Bloque de álculo ov ab a b. ada uestra obteida a la salida de este ódulo es oralizada por el Bloque de Noralizació el cual divide cada uestra a procesar por el ídice correspodiete para lograr la uiforidad de la señal a la salida para posteriorete ser eviada hacia el cotrolador del coversor digital aalógico DA co ua copoete de D iplícita ya que el ódulo DA utilizado es uipolar. E la figura se puede observar el diagraa e bloque del sistea. 5

8 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 Figura. Diagraa e Bloques del Sistea oo ejeplo ilustrativo se uestra e la figura el diagraa de tiepo del ódulo diseñado e VHDL para el cálculo de la fució de autocorrelació de la señal. oo se puede observar todo el proceso coieza co el flaco de subida de la señal de Iicio y teria listo para etraer los datos de la eoria RAM de salida co el pulso de la señal FIN el cual habilita la señal de LETURA para coezar el proceso de etracció de datos a la frecuecia base de trabajo para este caso 50 MHz. 55

9 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 Figura. Diagraa de Tiepo del Módulo de la Fució de Autocorrelació El cosuo de recursos fudaetales de todo el sistea se ilustra e la tabla lo cual uestra coo recursos críticos el cosuo de ultiplicadores ebebidos y los bacos de eoria RAM dedicada. Destacar que la sítesis y el proceso de ipleetació del sistea se realiza ediate optiizació por área. Tabla I: osuo de Recursos del Sistea Suario de Diseño Arquitectura Sparta-AN cs700a Núero de Slices 0 de 5 % Núero de Slice Flip Flops: 05 de 776 7% Núero de iput LUTs: 55 de 776 % Núero de RAMB6BWEs 5 de0 75% Núero de MULTXSIOs de 0 65% 56

10 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 ANÁLISIS DE RENDIMIENTO Para hacer u aálisis del rediieto del sistea se realiza ua coparació co la ipleetació e VHDL del estadístico de orde superior copoete uidiesioal del cuulate de cuarto orde ediate u sistea basado e ua arquitectura de dos procesadores Microblaze e paralelo utilizado la herraieta XPS de Xili y otro odelo utilizado AccelDSP la cual es ua herraieta de sítesis proporcioada por Xili que perite trasforar u diseño e puto flotate desarrollado e Matlab e u ódulo hardware que puede ser ipleetado e u FPGA. Posee ua iterfaz de usuario fácil de usar que cotrola u abiete itegrado co otras herraietas de diseño tales coo: Matlab Xili ISE Syste Geerator y otras coo siuladores de código HDL y sitetizadores lógicos [9]. oo prier aálisis se uestra e la figura la gráfica del coportaieto de los recursos críticos cosuidos por las ipleetacioes correspodietes lo cual uestra u ecesivo cosuo de recursos e cuato a los ultiplicadores ebebidos e el diseño basado e AccelDSP. Por otro lado los deás recursos cosuidos por las ipleetacioes so bastate siilares destacado u eor cosuo la ipleetació tratada e este trabajo dado adeás validez a la arquitectura propuesta. Figura. osuo de recursos de ipleetacioes e AccelDSP XPS y VHDL Ua de las tareas idispesables a desarrollar e aplicacioes orietadas al procesaieto de datos e tiepo real es la edició del tiepo ecesario para que u deteriado algorito se ejecute e el prograa e aras de lograr el acodicioaieto y óptio fucioaieto del sistea. oo últia coparació e el diseño propuesto se establece u aálisis del tiepo de ejecució y las frecuecias áias de trabajo del diseño e VHDL co respecto al diseño ipleetado tato e AccelDSP coo e XPS. El perfil de tiepo utilizado e XPS para la coparació se obtuvo utilizado la herraieta XMD Xili Module Debugger la cual adeás perite depurar el código de la aplicació para detectar y corregir errores presetes e la isa [0]. y cuyo resultado se obtuvo de u aterior trabajo así iso el perfil de tiepo obteido e AccelDSP [0]. E la figura 5 se puede observar el aálisis de tiepo para todas las ipleetacioes ecioadas. 57

11 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 Figura. 5 Aálisis de Tiepo de ipleetacioes e AccelDSP XPS y VHDL E la figura aterior se observa el desbalace teporal de la ipleetació e XPS aú utilizado sisteas ultiúcleo co respecto a la ipleetació e AccelDSP y VHDL cuyo tiepo de ejecució es bastate siilar coprobado la afectividad del diseño propuesto. RESULTADOS OBTENIDOS Para la coprobació de los resultados se eperietó utilizado ua señal coseo de khz de frecuecia uestreada a MHz cotaiada co ruido de aturaleza gaussiaa geerado a partir de la fució rad de Matlab cuyos datos se isertaro al sistea a través de u fichero de estíulos tipo teto ediate el archivo de siulació TestBech del proyecto utilizado para esto la herraieta ISIM que proporcioa Xili. La salida eitida por el sistea se evía a través de u fichero tipo teto hacia Matlab dode se coprueba los resultados co el odelo descrito e esta herraieta. E la figura 6 se uestra los resultados obteidos tras evaluar el odelo propuesto descrito e VHDL y su coparació co el odelo siulado e Matlab. E la figura 6a se puede observar la uestra de señal cotaiada utilizada prieraete así iso e la figura 6b se ilustra la salida obteida tras evaluar el odelo propuesto descrito e VHDL rojo y su coparació co la señal de etrada azul. Por otra parte e la figura 7a se observa la coparació etre el espectro de la señal obteido tras evaluar el diseño del sistea e VHDL y la señal de etrada observádose la copoete de frecuecia origial de la señal a detectar khz adeás e la figura 7b se uestra la señal de salida obteida e VHDL y su coparació co el odelo siulado e Matlab coprobádose la obteció de la fase correspodiete. abe resaltar que la diferecia de aplitud etre la señal de salida e VHDL y el odelo descrito e Matlab se debe a que e el odelo geerado e VHDL se trabaja co aritética de tipo etero y se eliia el resto de la divisió durate el proceso de oralizació lo cual itroduce cierto error a la salida. 5

12 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 a b Figura. 6 Ilustració de a Señal de Etrada b oparació etre la señal de etrada y la salida e VHDL a b Figura. 7 Ilustració de a oparació del Espectro de la señal de etrada y la salida e VHDL b oparació del Modelo e Matlab y el Modelo e VHDL oo últio eperieto se coprobó el sistea ediate u too de 00 khz de frecuecia obteido a través de u geerador de señales al cual se le sua ruido geerado a través de u ódulo descrito e VHDL co ua gaacia variable para edir el ivel de respuesta del sistea cuado la relació señal/ruido disiuye. Para la obteció del ódulo geerador de ruido se utiliza el kit de desarrollo SPARTAN-E XS500E de Xili [] cuya salida se asiga a uo de lo pies de propósito geeral del kit. Tato la señal proveiete del geerador coo el ruido so suadas ediate ua cofiguració suador o iversor copuesta por el aplificador operacioal LM [] la salida de este ódulo es la señal a procesar por el sistea. Ua vista de la aplicació se uestra e la figura. 59

13 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 Figura. Vista Geeral de la Aplicació Los resultados obteidos se puede observar e la figura 9 ostrádose la señal de etrada figura 9a y la salida figura 9b obteidas e el osciloscopio tras evaluar el odelo propuesto. Así iso e las figuras 0 se observa e detalle el espectro de la señal obteido a la salida el cual fue geerado ediate la herraieta de Aálisis del Osciloscopio e la P NI LabVIEW SigalEpress Tektroi Editio. a b Figura. 9 Ilustració de a Señal otaiada b Salida Obteida 60

14 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 Figura. 0 Espectro de la Señal de Salida Obteido e NI LabVIEW SigalEpress Tektroi Editio oo se observa e la figura 9 la señal se ve afectada por la baja relació señal/ruido presete a la etrada lo cual al evaluar el odelo de estiació de paráetros propuesto se puede ver e la figura 0 la salida co su aplitud frecuecia y fase origiales. ONLUSIONES Este trabajo cofira la efectividad del uso de estadísticos de orde superior cobiados co u proceso de covolució e tareas de cacelació de ruido y detecció de señales. El aporte teórico fudaetal presetado se refiere a la aplicació de u proceso de covolució para la recuperació de la pérdida de fase e ua o varias señales aróicas cuado se aplica estadística de cuarto orde para cacelar ruido aditivo de aturaleza oral. adeás de la ipleetació del odelo propuesto sobre ua arquitectura de hardware recofigurable de esta últia lográdose u rediieto e tiepo de ejecució uy superior al odelo sobre u sistea puraete secuecial lo cual posibilita su utilizació e aplicacioes reales de idetificació de sisteas y detecció de señales. 6

15 Miguel Erique Iglesias Martíez Bárbaro M. López Portilla Fidel Eresto Herádez Motero RIELA Vol.XXXIV /0 p.-6 Mayo - Agosto ISSN: 5-59 REFERENIAS. Roy M. Howard: Priciples of Rado Sigal Aalysis ad Low Noise Desig Wiley & Sos Ltd S.V. Narasiha Nadii B. Mallick Rataa haitaya: Iproved Phase Estiatio based o oplete Bispectru ad odified group delay Sigal Iage ad Video Processig February 00.. Mi Geg Huaqig Liag Juwu Wag: Research o Methods of Higher-order Statistics for Phase Differece Detectio ad Frequecy Estiatio th Iteratioal ogress o Iage ad Sigal Processig 0.. Aar Kacheoura Lauret Albera Jea-Jacques Bellager Lotfi Sehadji: No-iiu phase idetificatio based o higher order spectru slices HAL author auscript IEEE Trasactios o Sigal Processig 00 9 pages 5. Jerry M. Medel: Sigal Processsig with Higher-Order Spectra IEEE Sigal Processig Magazie Julio Josep M Salavedra Molí: Técicas de Speech Ehaceet cosiderado Estadísticas de Orde Superior Tesis Doctoral Barceloa Juio Izzet Ozcelik: Blid Decovolutio of Music Sigals usig Higher Order Statistics 7 th Europea Sigal Processig oferece EUSIPO 009 Glasgow Scotlad August Digilet PodAD: obteido de: Julio 0 9. AccelDSP Sythesis Tool User Guide: obteido de: Noviebre Miguel Erique Iglesias Martíez Fidel Eresto Herádez Motero: acelació de Ruido Aplicado Estadística de Orde Superior y Sisteas Multiprocesador sobre FPGA Libro de Trabajos ogreso Argetio de Sisteas Ebebidos SASE 0 Bueos Aires Argetia Agosto 0 ISBN: Sparta E Starter Kit Referece Maual: obteido de: Septiebre 0. Bárbaro M. López Portilla: Aalizador de Redes Eléctricas Basado e FPGA Tesis de Maestría La Habaa uba 0. AUTORES Miguel Erique Iglesias Martíez: Igeiero e Telecouicacioes y Electróica. Máster e Sisteas Digitales Profesor de la Uiversidad de Piar del Río uba. igueliglesias00@gail.co Bárbaro Maykel López-Portilla Vigil: Igeiero e Telecouicacioes y Electróica. Máster e Sisteas Digitales. Profesor de la Uiversidad de Piar del Río uba. barbaro@tele..upr.edu.cu Fidel Eresto Herádez Motero: Igeiero e Telecouicacioes y Electróica. Doctor e iecias Técicas. Profesor de la Uiversidad de Piar del Río uba. fidel@tele.upr.edu.cu 6

Permutaciones y combinaciones

Permutaciones y combinaciones Perutacioes y cobiacioes Cotaos posibilidades Coezaos co u secillo ejeplo E España los coches tiee ua atrícula que costa de cuatro dígitos deciales seguidos de tres letras sacadas de u alfabeto de 26 Cuátas

Más detalles

Solución del examen de Investigación Operativa de Sistemas de septiembre de 2004

Solución del examen de Investigación Operativa de Sistemas de septiembre de 2004 Solució del eame de Ivestigació Operativa de Sistemas de septiembre de 4 Problema (,5 putos: Ua marca de cereales para el desayuo icluye u muñeco de regalo e cada caja de cereales. Hay tres tipos distitos

Más detalles

CONVEXIDAD R 2. Conjuntos convexos. Combinación lineal convexa de m puntos. λ x. Ejemplos de conjuntos convexos en R 2

CONVEXIDAD R 2. Conjuntos convexos. Combinación lineal convexa de m puntos. λ x. Ejemplos de conjuntos convexos en R 2 Cojutos coveos Ejeplos de cojutos coveos e R CONVEXIDAD Cojutos coveos Coveidad de fucioes DEFINICION: U cojuto A es coveo cuado, y A y λ [0,] se cuple λ + ( λ) y A R λ + ( λ) y λ = / y λ = 0 Cojuto coveo:

Más detalles

ÁREA DE INGENIERÍA QUÍMICA Prof. Isidoro García García. Operaciones Básicas de Transferencia de Materia. Tema 4

ÁREA DE INGENIERÍA QUÍMICA Prof. Isidoro García García. Operaciones Básicas de Transferencia de Materia. Tema 4 ÁRE DE IGEIERÍ QUÍMIC Operacioes Básicas de Trasferecia de Materia Tea 4 Operacioes Básicas de Trasferecia de Materia ITRODUCCIÓ a aoría de las corrietes de u proceso quíico está costituidas por varios

Más detalles

5. Aproximación de funciones: polinomios de Taylor y teorema de Taylor.

5. Aproximación de funciones: polinomios de Taylor y teorema de Taylor. GRADO DE INGENIERÍA AEROESPACIAL. CURSO 00. Lecció. Fucioes y derivada. 5. Aproimació de fucioes: poliomios de Taylor y teorema de Taylor. Alguas veces podemos aproimar fucioes complicadas mediate otras

Más detalles

Ejercicios Resueltos ADC / DAC

Ejercicios Resueltos ADC / DAC Curso: Equipos y Sistemas de Cotrol Digital Profesor: Felipe Páez M. Programa: Automatizació, espertio, 010 Problemas Resueltos: Ejercicios Resueltos ADC / DAC ersió 1.1 1. Se tiee u DAC ideal de 10 bits,

Más detalles

OPERACIONES CON POLINOMIOS.

OPERACIONES CON POLINOMIOS. OPERACIONES CON POLINOMIOS. EXPRESIONES ALGEBRAICAS. Ua epresió ateática que usa úeros o variables o abos para idicar productos o cocietes es u tério. Los térios,, (ab), so todos epresioes algebraicas.

Más detalles

Capítulo 2. Operadores

Capítulo 2. Operadores Capítulo 2 Operadores 21 Operadores lieales 22 Fucioes propias y valores propios 23 Operadores hermitiaos 231 Delta de Kroecker 24 Notació de Dirac 25 Operador Adjuto 2 Operadores E la mecáica cuática

Más detalles

MODELOS DE PROBABILIDAD

MODELOS DE PROBABILIDAD 3 MODELOS DE PROBABILIDAD.- VARIABLES ALEATORIAS DISCRETAS E ocasioes, alguas variables aleatorias sigue distribucioes de probabilidad uy cocretas, coo por ejeplo el estudio a u colectivo ueroso de idividuos

Más detalles

REGÍMENES FINANCIEROS

REGÍMENES FINANCIEROS EGÍMEES FIAIEOS are Badía, Hortèsia Fotaals, Merche Galisteo, José Mª Lecia, Mª Agels Pos, Teresa Preixes, Dídac aírez, F. Javier Sarrasí y Aa Mª Sucarrats DEPATAMETO DE MATEMÁTIA EOÓMIA, FIAIEA Y ATUAIAL

Más detalles

Medidas de Tendencia Central

Medidas de Tendencia Central EYP14 Estadística para Costrucció Civil 1 Medidas de Tedecia Cetral La Media La media (o promedio) de ua muestra x 1, x,, x de tamaño de ua variable o característica x, se defie como la suma de todos los

Más detalles

Fórmula de Taylor. Si f es continua en [a,x] y derivable en (a,x), existe c (a,x) tal que f(x) f(a) f '(c) = f(x) = f(a) + f '(c)(x a)

Fórmula de Taylor. Si f es continua en [a,x] y derivable en (a,x), existe c (a,x) tal que f(x) f(a) f '(c) = f(x) = f(a) + f '(c)(x a) Aproimació de ua fució mediate u poliomio Cuado yf tiee ua epresió complicada y ecesitamos calcular los valores de ésta, se puede aproimar mediate fucioes secillas (poliómicas). El teorema del valor medio

Más detalles

Métodos Estadísticos de la Ingeniería Tema 9: Inferencia Estadística, Estimación de Parámetros Grupo B

Métodos Estadísticos de la Ingeniería Tema 9: Inferencia Estadística, Estimación de Parámetros Grupo B Métodos Estadísticos de la Igeiería Tema 9: Iferecia Estadística, Estimació de Parámetros Grupo B Área de Estadística e Ivestigació Operativa Licesio J. Rodríguez-Aragó Abril 200 Coteidos...............................................................

Más detalles

Por: Lic. Eleazar J. García. República Bolivariana de Venezuela Tinaco.- Estado Cojedes. INTEGRALES INDEFINIDAS

Por: Lic. Eleazar J. García. República Bolivariana de Venezuela Tinaco.- Estado Cojedes. INTEGRALES INDEFINIDAS Por: Lic. Eleazar J. García. República Bolivariaa de Veezuela Tiaco.- Estado Cojedes. INTEGRALES INDEFINIDAS Usted está familiarizado co alguas operacioes iversas. La adició y la sustracció so operacioes

Más detalles

1.1. Campos Vectoriales.

1.1. Campos Vectoriales. 1.1. Campos Vectoriales. Las fucioes, ampliamete empleadas e la igeiería, para modelar matemáticamete y caracterizar magitudes físicas, y cuyo domiio podría ser multidimesioal, puede teer u rago uidimesioal

Más detalles

MC Fco. Javier Robles Mendoza Primavera 2009

MC Fco. Javier Robles Mendoza Primavera 2009 1 BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA COMPUTACIÓN APUNTES CURSO: ALGEBRA SUPERIOR INGENIERIA EN CIENCIAS DE LA COMPUTACIÓN MC Fco. Javier Robles Medoza Primavera 2009 2

Más detalles

UNIDAD Nº 2. Leyes financieras: Interés simple. Interés compuesto. Descuento.

UNIDAD Nº 2. Leyes financieras: Interés simple. Interés compuesto. Descuento. UNIDAD Nº 2 Leyes fiacieras: Iterés simple. Iterés compuesto. Descueto. 2.1 La Capitalizació simple o Iterés simple 2.1.1.- Cocepto de Capitalizació simple Es la Ley fiaciera segú la cual los itereses

Más detalles

Transformada Z. Transformada Z. Señales y sistemas discretos (1) Señales y sistemas discretos (2)

Transformada Z. Transformada Z. Señales y sistemas discretos (1) Señales y sistemas discretos (2) Trasformada Z La trasformada Z es u método tratar fucioes discretas e el tiempo El papel de la trasformada Z e sistemas discretos e el tiempo es similar al de la trasformada de Laplace e sistemas cotiuos

Más detalles

Señales y sistemas discretos (1) Transformada Z. Definiciones

Señales y sistemas discretos (1) Transformada Z. Definiciones Trasformada Z La trasformada Z es u método para tratar fucioes discretas e el tiempo El papel de la trasformada Z e sistemas discretos e el tiempo es similar al de la trasformada de Laplace e sistemas

Más detalles

TEMA 3.- OPERACIÓN FINANCIERA

TEMA 3.- OPERACIÓN FINANCIERA . DEFINICIÓN Y CLASIFICACIÓN. TEMA 3.- OPEACIÓN FINANCIEA Se deomia operació fiaciera a todo itercambio o simultáeo de capitales fiacieros pactado etre dos agetes, siempre que se verifique la equivalecia,

Más detalles

2. LEYES FINANCIERAS.

2. LEYES FINANCIERAS. TEMA 1: CONCEPTOS PREVIOS 1. INTRODUCCIÓN. Se va a aalizar los itercambios fiacieros cosiderado u ambiete de certidumbre. El itercambio fiaciero supoe que u agete etrega a otro u capital (o capitales),

Más detalles

REVISIÓN DE ALGUNOS INDICADORES PARA MEDIR LA DESIGUALDAD XAVIER MANCERO CEPAL

REVISIÓN DE ALGUNOS INDICADORES PARA MEDIR LA DESIGUALDAD XAVIER MANCERO CEPAL 375 REVISIÓN DE ALGUNOS INDICADORES PARA MEDIR LA DESIGUALDAD XAVIER MANCERO CEPAL 376 Revisió de alguos idicadores para medir desigualdad Medidas de Desigualdad Para medir el grado de desigualdad e la

Más detalles

ANEXO I ANEXO I CONCEPTOS SÍSMICOS BÁSICOS

ANEXO I ANEXO I CONCEPTOS SÍSMICOS BÁSICOS AEXO I COCEPTOS SÍSMICOS BÁSICOS E este aeo se compila alguos de los coceptos sísmicos básicos pero ecesarios. Se itroduce los tipos de movimietos vibratorios, así como su descripció y otació matemática.

Más detalles

Tema 6. Sucesiones y Series. Teorema de Taylor

Tema 6. Sucesiones y Series. Teorema de Taylor Nota: Las siguietes líeas so u resume de las cuestioes que se ha tratado e clase sobre este tema. El desarrollo de todos los tópicos tratados está recogido e la bibliografía recomedada e la Programació

Más detalles

1 Sucesiones. Ejemplos. a n = n a n = n! a n = n n. a n = p n. a n = 2n3 + n 2 + 5 n 2 + 8. a n = ln(n)

1 Sucesiones. Ejemplos. a n = n a n = n! a n = n n. a n = p n. a n = 2n3 + n 2 + 5 n 2 + 8. a n = ln(n) 1 Sucesioes De ició. Ua sucesió, a, es ua fució que tiee como domiio el cojuto de los úmeros aturales y como cotradomiio el cojuto de los úmeros reales: a : N! R. Se usa la siguiete otació: a () = a :

Más detalles

COLEGIO CRISTIANA FERNÁNDEZ DE MERINO Trípoli No. 112, Col. Portales, México, D. F. Tel. 5604-3628, 5605-1509

COLEGIO CRISTIANA FERNÁNDEZ DE MERINO Trípoli No. 112, Col. Portales, México, D. F. Tel. 5604-3628, 5605-1509 COLEGIO CRISTIANA FERNÁNDEZ DE MERINO Trípoli No. 112, Col. Portales, México, D. F. Tel. 5604-3628, 5605-1509 MATEMATICAS SEGUNDO GRADO SECCIÓN SECUNDARIA ACTIVIDADES PARA DESARROLLAR EN CLASE CURSO 2015-2016

Más detalles

16 Distribución Muestral de la Proporción

16 Distribución Muestral de la Proporción 16 Distribució Muestral de la Proporció 16.1 INTRODUCCIÓN E el capítulo aterior hemos estudiado cómo se distribuye la variable aleatoria media aritmética de valores idepedietes. A esta distribució la hemos

Más detalles

Análisis de datos en los estudios epidemiológicos II

Análisis de datos en los estudios epidemiológicos II Aálisis de datos e los estudios epidemiológicos II Itroducció E este capitulo cotiuamos el aálisis de los estudios epidemiológicos cetrádoos e las medidas de tedecia cetral, posició y dispersió, ídices

Más detalles

Algoritmos no sistólicos para la multiplicación de matrices en FPGA s

Algoritmos no sistólicos para la multiplicación de matrices en FPGA s Algoritos o sistólicos para la ultiplicació de atrices e FPGA s Algoritos o sistólicos para la ultiplicació de atrices e FPGA s Igacio Bravo, Pedro Jiéez, Mauel Mazo, José Luis Lázaro, J. Javier de las

Más detalles

Modelo dependiente de la frecuencia para líneas de transmisión (FD-LINE)

Modelo dependiente de la frecuencia para líneas de transmisión (FD-LINE) Modelo depediete de la frecuecia para líeas de trasisió (FD-LIE) Realdo Iracheta Cortez Cetro de Ivestigació de Estudios Avazados del I.P.. iracheta@gdl.civestav.x RESUME E este artículo se ipleeta ua

Más detalles

Capítulo 5 Distribución de esfuerzos en el suelo debido a cargas

Capítulo 5 Distribución de esfuerzos en el suelo debido a cargas Capítulo 5 Distribució de esfueros e el suelo debido a cargas 5. INTRODUCCIÓN Coo ya se ha explicado ateriorete ua cietació tiee el trabajo de trasferir las cargas de la estructura al suelo, cuado esto

Más detalles

DISTRIBUCION DE FRECUENCIA (DATOS AGRUPADOS)

DISTRIBUCION DE FRECUENCIA (DATOS AGRUPADOS) Los valores icluidos e u grupo de datos usualmete varía e magitud; alguos de ellos so pequeños y otros so grades. U promedio es u valor simple, el cual es cosiderado como el valor más represetativo o típico

Más detalles

Figura 9.1: Respuesta típica al escalón unitario de un sistema de control. Análisis de Sistemas Lineales 95 Ing. Eduardo Interiano

Figura 9.1: Respuesta típica al escalón unitario de un sistema de control. Análisis de Sistemas Lineales 95 Ing. Eduardo Interiano (VSHFLILFDFLRQHVHQHOGRPLQLRGHOWLHPSR E capítulos ateriores se ha estudiado la respuesta de estado estable de los sistemas lieales ( cuado tæ ), estudiaremos ahora la respuesta trasitoria. La respuesta

Más detalles

BINOMIO DE NEWTON página 171 BINOMIO DE NEWTON

BINOMIO DE NEWTON página 171 BINOMIO DE NEWTON págia 171 Los productos otables tiee la fialidad de obteer el resultado de ciertas multiplicacioes si hacer dichas multiplicacioes. Por ejemplo, cuado se desea multiplicar los biomios cojugados siguietes:

Más detalles

Estimación puntual y por Intervalos de Confianza

Estimación puntual y por Intervalos de Confianza Capítulo 7 Estimació putual y por Itervalos de Cofiaza 7.1. Itroducció Cosideremos ua v.a X co distribució F θ co θ descoocido. E este tema vemos cómo dar ua estimació putual para el parámetro θ y cómo

Más detalles

ELEMENTOS DE ÁLGEBRA MATRICIAL

ELEMENTOS DE ÁLGEBRA MATRICIAL ELEMENTOS DE ÁLGEBRA MATRICIAL Ezequiel Uriel DEFINICIONES Matriz Ua matriz de orde o dimesió p- o ua matriz ( p)- es ua ordeació rectagular de elemetos dispuestos e filas y p columas de la siguiete forma:

Más detalles

Análisis en el Dominio de la Frecuencia. Análisis en el Dominio de la Frecuencia. Sistemas de Control. Análisis en el Dominio de la Frecuencia

Análisis en el Dominio de la Frecuencia. Análisis en el Dominio de la Frecuencia. Sistemas de Control. Análisis en el Dominio de la Frecuencia Aálisis e el Domiio de la Frecuecia Sistemas de Cotrol El desempeño se mide por características e el domiio del tiempo Respuesta e el tiempo es díficil de determiar aalíticamete, sobretodo e sistemas de

Más detalles

1. Lección 11 - Operaciones Financieras a largo plazo - Préstamos (Continuación)

1. Lección 11 - Operaciones Financieras a largo plazo - Préstamos (Continuación) Aputes: Matemáticas Fiacieras 1. Lecció 11 - Operacioes Fiacieras a largo plazo - Préstamos (Cotiuació) 1.1. Préstamo: Método de cuotas de amortizació costates E este caso se verifica A 1 = A 2 = = A =

Más detalles

CRITERIOS DE DECISIÓN EN LA EVALUACION DE PROYECTOS

CRITERIOS DE DECISIÓN EN LA EVALUACION DE PROYECTOS CRITERIOS DE DECISIÓN EN LA EVALUACION DE PROYECTOS Curso Preparació y Evaluació Social de Proyectos Sistema Nacioal de Iversioes Divisió de Evaluació Social de Iversioes MINISTERIO DE DESARROLLO SOCIAL

Más detalles

Tema 5: Organización de la memoria: memoria principal.

Tema 5: Organización de la memoria: memoria principal. Objetivos: Tea 5: Orgaizació de la eoria: eoria pricipal Coocer las características geerales de los diferetes tipos de eoria que aparece e u coputador digital y aalizar la ecesidad de su orgaizació jerárquica

Más detalles

ASIGNATURA: MATEMATICAS FINANCIERAS

ASIGNATURA: MATEMATICAS FINANCIERAS APUNTES DOCENTES ASIGNATURA: MATEMATICAS FINANCIERAS PROFESORES: MARIN JAIMES CARLOS JAVIER SARMIENTO LUIS JAIME UNIDAD 3: EVALUACIÓN ECONÓMICA DE PROYECTOS DE INVERSIÓN EL VALOR PRESENTE NETO VPN Es ua

Más detalles

Para efectuar la evaluación de los criterios de integración se utilizó correspondiente a las distancias relativas de Hamming. i=1

Para efectuar la evaluación de los criterios de integración se utilizó correspondiente a las distancias relativas de Hamming. i=1 3.4 Evaluació de la implemetació y su compatibilidad co NC PAS:99:2008 La aplicació del modelo del CMI y la herramieta de medició (el CM ODUN) permitió cotrastar los resultados co lo establecido por la

Más detalles

REFRACCIÓN. OBJETIVOS Después de completar el estudio de este tema podrá usted:

REFRACCIÓN. OBJETIVOS Después de completar el estudio de este tema podrá usted: REFRACCIÓN OBJETIVOS Después de copletar el estudio de este tea podrá usted:. Defiir el ídice de refracció y expresar tres leyes que describe el coportaieto de la luz refractada.. Aplicar la ley de Sell

Más detalles

Capítulo I. La importancia del factor de potencia en las redes. eléctricas

Capítulo I. La importancia del factor de potencia en las redes. eléctricas La importacia del factor de potecia e las redes eléctricas. Itroducció Las fuetes de alimetació o geeradores de voltaje so las ecargadas de sumiistrar eergía e las redes eléctricas. Estas so de suma importacia,

Más detalles

ANUALIDADES CON LA UTILIZACION DE LAS FUNCIONES FINANCIERAS DEL EXCEL

ANUALIDADES CON LA UTILIZACION DE LAS FUNCIONES FINANCIERAS DEL EXCEL ANUALIDADES CON LA UTILIZACION DE LAS FUNCIONES FINANCIERAS DEL EXCEL Dr. Wisto Castañeda Vargas ASPECTOS GENERALES Ua aualidad es u cojuto de dos o más flujos, e el que a partir del segudo, los períodos

Más detalles

FEE02-15 FÓRMULAS Y EJEMPLOS. Incluye a los productos:

FEE02-15 FÓRMULAS Y EJEMPLOS. Incluye a los productos: FEE02-5 FÓRMULAS Y EJEMPLOS cluye a los productos: - Epresariales - Credifácil - El tiepo vale oro - Micro agropecuario - Agro crédito - Credigaadero - Credicostruye - Mi terreito - Multioficios - Crédito

Más detalles

Ejemplos y ejercicios de. Análisis Exploratorio de Datos. 2 Descripción estadística de una variable. Ejemplos y ejercicios.

Ejemplos y ejercicios de. Análisis Exploratorio de Datos. 2 Descripción estadística de una variable. Ejemplos y ejercicios. ANÁLISIS EXPLORATORIO DE DATOS Ejemplos y ejercicios de Aálisis Exploratorio de Datos Descripció estadística de ua variable. Ejemplos y ejercicios..1 Ejemplos. Ejemplo.1 Se ha medido el grupo saguíeo de

Más detalles

Polinomios. Definición de polinomio y sus propiedades. Grado de un polinomio e igualdad de polinomios

Polinomios. Definición de polinomio y sus propiedades. Grado de un polinomio e igualdad de polinomios Poliomios Defiició de poliomio y sus propiedades U poliomio puede expresarse como ua suma de productos de fucioes de x por ua costate o como ua suma de térmios algebraicos; es decir U poliomio e x es ua

Más detalles

Estimación puntual y por intervalos de confianza

Estimación puntual y por intervalos de confianza Ídice 6 Estimació putual y por itervalos de cofiaza 6.1 6.1 Itroducció.......................................... 6.1 6. Estimador........................................... 6. 6.3 Método de costrucció

Más detalles

MATEMÁTICAS FINANCIERAS

MATEMÁTICAS FINANCIERAS MATEMÁTIAS FINANIERAS Secció: 1 Profesores: ristiá Bargsted Adrés Kettlu oteido Matemáticas Fiacieras: Iterés Simple vs Iterés ompuesto Valor Presete y Valor Futuro Plaificació estratégica Matemáticas

Más detalles

ESTIMACIÓN DE VARIANZAS Y PROPORCIONES POBLACIONALES MEDIANTE INTERVALOS DE CONFIANZA

ESTIMACIÓN DE VARIANZAS Y PROPORCIONES POBLACIONALES MEDIANTE INTERVALOS DE CONFIANZA UNP-Facultad de Igeiería Carreras: Ig. Electróica y Electricista CAPÍTUO 6 ESTIMACIÓN DE VARIANZAS PROPORCIONES POBACIONAES MEDIANTE INTERVAOS DE CONFIANZA 6.1 Itervalo de cofiaza ara la variaza de ua

Más detalles

MARTINGALAS Rosario Romera Febrero 2009

MARTINGALAS Rosario Romera Febrero 2009 1 MARTINGALAS Rosario Romera Febrero 2009 1. Nocioes básicas De ició: Sea (; F; P ) u espacio de probabilidad y T 6= ; y sea (F t ) t2t ua ltració e F. Ua familia fx t g t2t de v.a. reales de idas sobre

Más detalles

PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E

PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E CURSO.-.3 - CONVOCATORIA: MATEMÁTICAS APLICADAS A LAS CIENCIAS SOCIALES - Cada alumo debe elegir sólo ua de las pruebas (A o B) y, detro de ella, sólo debe

Más detalles

4.1. Introducción a la Programación Lineal Entera (PLE)

4.1. Introducción a la Programación Lineal Entera (PLE) C APÍTULO 4 PROGRAMACIÓN ENTERA 4.. Itroducció a la Prograació Lieal Etera (PLE) Los prieros itetos para resolver u problea de prograació lieal etera surgiero de la etodología utilizada e la resolució

Más detalles

Imposiciones y Sistemas de Amortización

Imposiciones y Sistemas de Amortización Imposicioes y Sistemas de Amortizació La Imposició u caso particular de reta e el cual cada térmio devega iterés (simple o compuesto) desde la fecha de su aboo hasta la fecha fial. Imposicioes Vecidas

Más detalles

ÓPTICA FCA 08 ANDALUCÍA

ÓPTICA FCA 08 ANDALUCÍA . U teléoo óil opera o odas eletroagétias de reueia = 9 0 8 Hz. a) Deterie la logitud de oda y el úero de oda e el. b) Si la oda etra e u edio e el que su eloidad de propagaió se redue a 3/4, razoe qué

Más detalles

Propuesta A. { (x + 1) 4. Se considera la función f(x) =

Propuesta A. { (x + 1) 4. Se considera la función f(x) = Pruebas de Acceso a Eseñazas Uiversitarias Oficiales de Grado (0) Materia: MATEMÁTICAS APLICADAS A LAS CIENCIAS SOCIALES II El alumo deberá cotestar a ua de las dos opcioes propuestas A o B. Se podrá utilizar

Más detalles

Análisis en el Dominio del Tiempo para Sistemas Discretos

Análisis en el Dominio del Tiempo para Sistemas Discretos OpeStax-CNX module: m12830 1 Aálisis e el Domiio del Tiempo para Sistemas Discretos Do Johso Traslated By: Erika Jackso Fara Meza Based o Discrete-Time Systems i the Time-Domai by Do Johso This work is

Más detalles

Una serie de potencias puede ser interpretada como una función de x. f(x) = n=0

Una serie de potencias puede ser interpretada como una función de x. f(x) = n=0 Tema 4 Series de Potecias Ua expresió de la forma a 0 + a 1 (x c) + a 2 (x c) 2 +... + a (x c) +... = recibe el ombre de serie de potecias cetrada e c. a (x c) Ua serie de potecias puede ser iterpretada

Más detalles

Dada una secuencia g[n] se define su transformada Z (TZ) directa G(z), como. La relación entre la secuencia y su transformada se denota por:

Dada una secuencia g[n] se define su transformada Z (TZ) directa G(z), como. La relación entre la secuencia y su transformada se denota por: Tema 4. Trasformada Z. La trasformada Z para sistemas discretos desempeña u papel aálogo a la trasformada de Laplace para sistemas cotiuos. os va a permitir represetar la relació etrada salida de u sistema

Más detalles

ANÁLISIS DEL PROBLEMA DE LOS MONOS Y LOS COCOS. (Resolución por JMEB.)

ANÁLISIS DEL PROBLEMA DE LOS MONOS Y LOS COCOS. (Resolución por JMEB.) ANÁLISIS DEL PROBLEMA DE LOS MONOS Y LOS OOS. (Resolució por JMEB.) 1. Defiició. El problema cosiste e calcular la catidad de cocos que había iicialmete e u motó que... ierto día se reuiero moos para recoger

Más detalles

Propuesta de un modelo para la gestión de los neumáticos de una flota de vehículos

Propuesta de un modelo para la gestión de los neumáticos de una flota de vehículos 5 th Iteratioal oferece o Idustrial Egieerig ad Idustrial Maageet XV ogreso de Igeiería de Orgaizació artagea, 7 a 9 de Setiebre de 2 Prouesta de u odelo ara la gestió de los euáticos de ua flota de vehículos

Más detalles

SISTEMA DE VISIÓN ARTIFICIAL PARA EL RECONOCIMIENTO Y MANIPULACIÓN DE OBJETOS UTILIZANDO UN BRAZO ROBOT

SISTEMA DE VISIÓN ARTIFICIAL PARA EL RECONOCIMIENTO Y MANIPULACIÓN DE OBJETOS UTILIZANDO UN BRAZO ROBOT SISTEMA DE VISIÓN ARTIFICIAL PARA EL RECONOCIMIENTO Y MANIPULACIÓN DE OBJETOS UTILIZANDO UN BRAZO ROBOT Eddie Sobrado Malpartida Secció Electricidad y Electróica, Potificia Uiversidad Católica del Perú

Más detalles

Análisis de Señales y Sistemas Digitales. Concepto Algoritmo Implementación

Análisis de Señales y Sistemas Digitales. Concepto Algoritmo Implementación Aálisis de Señales y Sistemas Digitales FFT Cocepto Algoritmo Implemetació 2010 FFT Trasformada Rápida de Fourier Cocepto La trasformada rápida de fourier (FFT) es u algoritmo que permite él cálculo eficiete

Más detalles

Tema 9 Teoría de la formación de carteras

Tema 9 Teoría de la formación de carteras Parte III Decisioes fiacieras y mercado de capitales Tema 9 Teoría de la formació de carteras 9.1 El problema de la selecció de carteras. 9. Redimieto y riesgo de ua cartera. 9.3 El modelo de la media-variaza.

Más detalles

Automá ca. Capítulo6.LugardelasRaíces. JoséRamónLlataGarcía EstherGonzálezSarabia DámasoFernándezPérez CarlosToreFerero MaríaSandraRoblaGómez

Automá ca. Capítulo6.LugardelasRaíces. JoséRamónLlataGarcía EstherGonzálezSarabia DámasoFernándezPérez CarlosToreFerero MaríaSandraRoblaGómez Autoáca Capítulo6.LugardelasRaíces JoséRaóLlataGarcía EstherGozálezSarabia DáasoFerádezPérez CarlosToreFerero MaríaSadraRoblaGóez DepartaetodeTecologíaElectróica eigeieríadesisteasyautoáca Lugar de las

Más detalles

COMUNICACIÓN A 5272 27/01/2012

COMUNICACIÓN A 5272 27/01/2012 2012 Año de Homeaje al doctor D. Mauel Belgrao A LAS ENTIDADES FINANCIERAS: COMUNICACIÓN A 5272 27/01/2012 Ref.: Circular LISOL 1-545 CONAU 1-962 Exigecia de capital míimo por riesgo operacioal. Determiació

Más detalles

Cuadro II.1 Valores absolutos de peso (kg) de niños y niñas < 5 años de Costa Rica, 1966. pc3. pc25 5.3 5.6 5.7 6.1 7.2 5.5 7.6 7.8 8.4 6.4 7.4 9.

Cuadro II.1 Valores absolutos de peso (kg) de niños y niñas < 5 años de Costa Rica, 1966. pc3. pc25 5.3 5.6 5.7 6.1 7.2 5.5 7.6 7.8 8.4 6.4 7.4 9. II. CRECIMIENTO FÍSICO EN CENTROAMÉRICA Y REPÚBLICA DOMINICANA: MEDIDAS ABSOLUTAS PESO Y TALLA, POR EDAD Y SEXO Y COMPARACIÓN CON EL PATRÓN CRECIMIENTO LA OMS (2005) A. Por países 1. Costa Rica E los cuadros

Más detalles

TESIS DOCTORAL. Generadores de números pseudoaleatorios en aritmética de residuos: teoría e implementación en FPGAs

TESIS DOCTORAL. Generadores de números pseudoaleatorios en aritmética de residuos: teoría e implementación en FPGAs UNIVERSIDAD NACIONAL DE MAR DEL PLATA FACULTAD DE INGENIERÍA DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA TESIS DOCTORAL Geeradores de úeros pseudoaleatorios e aritética de residuos: teoría e ipleetació e FPGAs

Más detalles

TEMA 5: INTERPOLACIÓN

TEMA 5: INTERPOLACIÓN 5..- ITRODUCCIÓ TEMA 5: ITERPOLACIÓ Supogamos que coocemos + putos (x,y, (x,y,..., (x,y, de la curva y = f(x, dode las abscisas x k se distribuye e u itervalo [a,b] de maera que a x x < < x b e y k = f(x

Más detalles

TEMA 2.- MODELOS DE PROGRAMACION LINEAL. SOLUCION GRAFICA. En los problemas de Programación Lineal nos encontraremos con:

TEMA 2.- MODELOS DE PROGRAMACION LINEAL. SOLUCION GRAFICA. En los problemas de Programación Lineal nos encontraremos con: TEMA 2.- MODELOS DE PROGRAMACION LINEAL. SOLUCION GRAFICA.- Itroducció E los problemas de Programació Lieal os ecotraremos co: - Fució Objetivo: es la meta que se quiere alcazar, y que será la fució a

Más detalles

CONCEPTOS BÁSICOS DE PRESTAMOS.

CONCEPTOS BÁSICOS DE PRESTAMOS. GESTIÓN FINANCIERA. TEMA 8º. PRESTAMOS. 1.- Coceptos básicos de préstamos. CONCEPTOS BÁSICOS DE PRESTAMOS. Coceptos básicos de prestamos. Préstamo. U préstamo es la operació fiaciera que cosiste e la etrega,

Más detalles

LÍMITES DE FUNCIONES REALES CON TENDENCIA A REAL

LÍMITES DE FUNCIONES REALES CON TENDENCIA A REAL INSTITUCION EDUCATIVA LA PRESENTACION NOMBRE ALUMNA: AREA : MATEMÁTICAS ASIGNATURA: MATEMÁTICAS DOCENTE: JOSÉ IGNACIO DE JESÚS FRANCO RESTREPO TIPO DE GUIA: CONCEPTUAL - EJERCITACION PERIODO GRADO N FECHA

Más detalles

BIOESTADISTICA (55-10536) Estudios de prevalencia (transversales) 1) Características del diseño en un estudio de prevalencia, o transversal.

BIOESTADISTICA (55-10536) Estudios de prevalencia (transversales) 1) Características del diseño en un estudio de prevalencia, o transversal. Departameto de Estadística Uiversidad Carlos III de Madrid BIOESTADISTICA (55-10536) Estudios de prevalecia (trasversales) CONCEPTOS CLAVE 1) Características del diseño e u estudio de prevalecia, o trasversal

Más detalles

Límite de una función

Límite de una función Límite de ua fució SOLUCIONARIO Límite de ua fució LITERATURA Y MATEMÁTICAS El ocho Sharrif iba sacado los libros [de mi bolsa] y ordeádolos e ua pila sobre el escritorio mietras leía cuidadosamete los

Más detalles

11. TRANSFORMADOR IDEAL

11. TRANSFORMADOR IDEAL . TAFOMADO DEA.. TODUCCÓ Cuado el flujo magético producido por ua bobia alcaza ua seguda bobia se dice que existe etre las dos bobias u acople magético, ya que el campo magético variable que llega a la

Más detalles

Límite de una función

Límite de una función Límite de ua fució SOLUCIONARIO Límite de ua fució L I T E R A T U R A Y M A T E M Á T I C A S El ocho Sharrif iba sacado los libros [de mi bolsa] y ordeádolos e ua pila sobre el escritorio mietras leía

Más detalles

Ejercicio 1. Sea el recinto limitado por las siguientes inecuaciones: y + 2x 2; 2y 3x 3; 3y x 6.

Ejercicio 1. Sea el recinto limitado por las siguientes inecuaciones: y + 2x 2; 2y 3x 3; 3y x 6. Materiales producidos e el curso: Curso realizado e colaboració etre la Editorial Bruño y el IUCE de la UAM de Madrid del 1 de marzo al 30 de abril de 013 Título: Curso Moodle para matemáticas de la ESO

Más detalles

PRIMERA SESIÓN. l. Se considera la sucesión de números reales definida por la relación de recurrenc1a: U n+l = a Un + ~ U n-1, con n > O

PRIMERA SESIÓN. l. Se considera la sucesión de números reales definida por la relación de recurrenc1a: U n+l = a Un + ~ U n-1, con n > O PRIMERA SESIÓN Problema N l. l. Se cosidera la sucesió de úmeros reales defiida por la relació de recurreca: U +l = a U + ~ U -, co > O Siedo: a y ~ úmeros fijos. Se supoe tambié coocidos los dos primeros

Más detalles

Unidad Central del Valle del Cauca Facultad de Ciencias Administrativas, Económicas y Contables Programa de Contaduría Pública

Unidad Central del Valle del Cauca Facultad de Ciencias Administrativas, Económicas y Contables Programa de Contaduría Pública Uidad Cetral del Valle del Cauca acultad de Ciecias Admiistrativas, Ecoómicas y Cotables Programa de Cotaduría Pública Curso de Matemáticas iacieras Profesor: Javier Herado Ossa Ossa Ejercicios resueltos

Más detalles

CAPÍTULO 6. Ecuaciones diferenciales con evolución en el tiempo.

CAPÍTULO 6. Ecuaciones diferenciales con evolución en el tiempo. CAPÍTULO 6. Ecuacioes difereciales co evolució e el tiepo. A cotiuació se propoe ua etodología de procesado óptico para visualizar a las solucioes de distitas ecuacioes difereciales que cotiee evolució

Más detalles

DEPARTAMENTO DE MATEMÁTICAS Mate1203 Cálculo Diferencial Parcial 3 (27/10/2010)

DEPARTAMENTO DE MATEMÁTICAS Mate1203 Cálculo Diferencial Parcial 3 (27/10/2010) UNIVERSIDAD DE LOS ANDES DEPARTAMENTO DE MATEMÁTICAS Mate1203 Cálculo Diferecial Parcial 3 (27/10/2010) 1. Cosidere la fució f (x) = 3(x 1) 2/3 (x 1) 2 a) Halle el domiio b) Ecuetre los putos críticos,

Más detalles

Gradiente, divergencia y rotacional

Gradiente, divergencia y rotacional Lecció 2 Gradiete, divergecia y rotacioal 2.1. Gradiete de u campo escalar Campos escalares. U campo escalar e R es ua fució f : Ω R, dode Ω es u subcojuto de R. Usualmete Ω será u cojuto abierto. Para

Más detalles

CAL. CONTROL Y ASEGURAMIENTO DE CALIDAD

CAL. CONTROL Y ASEGURAMIENTO DE CALIDAD MCAL103/03 LIBRO: PARTE: TÍTULO: CAL. CONTROL Y ASEGURAMIENTO DE CALIDAD 1. CONTROL DE CALIDAD 03. Aálisis Estadísticos de Cotrol de Calidad A. CONTENIDO Este Maual cotiee los procedimietos para aalizar,

Más detalles

UNIVERSIDAD DE GUADALAJARA

UNIVERSIDAD DE GUADALAJARA CETRO IVERSITARIO DE CIECIAS EACTAS E IGEIERÍAS SECRETARIA ACADÉICA DIVISIÓ DE IGEIERÍAS DEPARTAETO DE IGEIERÍA IDSTRIAL ACADEIA DE SISTEAS DE PRODCCIO PROGRAA DE ESTDIO: IGEIERIA DE PRODCCIO II SISTEA

Más detalles

SOLUCIONES DE LOS PROBLEMAS DE LA OME 49ª. 1. Sean a, b y n enteros positivos tales que a b y ab 1 n. Prueba que

SOLUCIONES DE LOS PROBLEMAS DE LA OME 49ª. 1. Sean a, b y n enteros positivos tales que a b y ab 1 n. Prueba que SOLUCIONES DE LOS PROBLEMAS DE LA OME 49ª Sea a, b y eteros positivos tales que a b y ab Prueba que a b 4 Idica justificadamete cuádo se alcaa la igualdad Supogamos que el resultado a demostrar fuera falso

Más detalles

LA TRANSFORMADA Z { } CAPÍTULO SEIS. T n n. 6.1 Introducción

LA TRANSFORMADA Z { } CAPÍTULO SEIS. T n n. 6.1 Introducción CAPÍTULO SEIS LA TRANSFORMADA Z 6. Itroducció E el Capítulo 5 se itrodujo la trasformada de Laplace. E este capítulo presetamos la trasformada Z, que es la cotraparte e tiempo discreto de la trasformada

Más detalles

CONCEPTOS BÁSICOS DE PROBABILIDAD Y ESTADÍSTICA

CONCEPTOS BÁSICOS DE PROBABILIDAD Y ESTADÍSTICA CAPÍTULO I CONCEPTOS BÁSICOS DE PROBABILIDAD Y ESTADÍSTICA El campo de la estadística tiee que ver co la recopilació, presetació, aálisis y uso de datos para tomar decisioes y resolver problemas. Motgomery

Más detalles

Tema 9. Combinatoria

Tema 9. Combinatoria Tea 9. Cobiatoria. Defiició de cobiatoria. Estrategias de resolució.. Estrategia del producto y la sua.. Diagraa de árbol. Variacioes y perutacioes.. Variacioes siples u ordiarias.. Perutacioes.. Variacioes

Más detalles

Programación Entera (PE)

Programación Entera (PE) Programació Etera (PE) E geeral, so problemas de programació lieal (PPL), e dode sus variables de decisió debe tomar valores eteros. Tipos de PE Cuado se requiere que todas las variables de decisió tome

Más detalles

Facultad de Ingeniería Sistemas de Control (67.22) Universidad de Buenos Aires INTRODUCCIÓN AL MATLAB CLASE 1

Facultad de Ingeniería Sistemas de Control (67.22) Universidad de Buenos Aires INTRODUCCIÓN AL MATLAB CLASE 1 Facultad de Igeiería Sisteas de Cotrol (67.) Uiversidad de Bueos Aires INTRODUCCIÓN AL CLASE INTRODUCCIÓN DE FUNCIONES DE TRANSFERENCIA Para la itroducció de fucioes de trasferecia polióicas se utiliza

Más detalles

PRUEBAS DE HIPÓTESIS

PRUEBAS DE HIPÓTESIS PRUEBAS DE HIPÓTESIS E vez de estimar el valor de u parámetro, a veces se debe decidir si ua afirmació relativa a u parámetro es verdadera o falsa. Vale decir, probar ua hipótesis relativa a u parámetro.

Más detalles

QUÉ HACE CALIFORNIA CREDIT UNION CON SU INFORMACIÓN PERSONAL?

QUÉ HACE CALIFORNIA CREDIT UNION CON SU INFORMACIÓN PERSONAL? Rev. 12/26/12 DATOS Por qué? Qué? QUÉ HACE CALIFORNIA CREDIT UNION CON SU INFORMACIÓN PERSONAL? Las istitucioes fiacieras elige la maera e que comparte su iformació persoal. La ley federal otorga a los

Más detalles

DIRECCIÓN DE DISEÑO Y MARCOS ESTADÍSTICOS

DIRECCIÓN DE DISEÑO Y MARCOS ESTADÍSTICOS Por qué ua ecuesta e viviedas? probabilística? estratificada? por cogloerados? Qué es el arco de uestreo? Iportacia del arco de uestreo Perite la selecció de uestras probabilísticas. Perite la ubicació

Más detalles

UNIDAD 8 MODELO DE ASIGNACIÓN. características de asignación. método húngaro o de matriz reducida.

UNIDAD 8 MODELO DE ASIGNACIÓN. características de asignación. método húngaro o de matriz reducida. UNIDAD 8 MODELO DE ASIGNACIÓN características de asigació. método húgaro o de matriz reducida. Ivestigació de operacioes Itroducció U caso particular del modelo de trasporte es el modelo de asigació,

Más detalles

Sistemas Automáticos. Ing. Organización Conv. Junio 05. Tiempo: 3,5 horas

Sistemas Automáticos. Ing. Organización Conv. Junio 05. Tiempo: 3,5 horas Sistemas Automáticos. Ig. Orgaizació Cov. Juio 05. Tiempo: 3,5 horas NOTA: Todas las respuestas debe ser debidamete justificadas. Problema (5%) Ua empresa del sector cerámico dispoe de u horo de cocció

Más detalles

ESTADÍSTICA DESCRIPTIVA: UNA VARIABLE Julián de la Horra Departamento de Matemáticas U.A.M.

ESTADÍSTICA DESCRIPTIVA: UNA VARIABLE Julián de la Horra Departamento de Matemáticas U.A.M. ESTADÍSTICA DESCRIPTIVA: UNA VARIABLE Juliá de la Horra Departameto de Matemáticas U.A.M. 1 Itroducció Cuado estamos iteresados e estudiar algua característica de ua població (peso, logitud de las hojas,

Más detalles

Práctica 6: Vectores y Matrices (I)

Práctica 6: Vectores y Matrices (I) Foamets d Iformàtica 1r curs d Egiyeria Idustrial Práctica 6: Vectores y Matrices (I) Objetivos de la práctica El objetivo de las prácticas 6 y 7 es itroducir las estructuras de datos vector y matriz e

Más detalles

b n 1.8. POTENCIAS Y RADICALES.

b n 1.8. POTENCIAS Y RADICALES. .. POTENCIAS Y RADICALES. La potecia es ua epresió ateática que coprede dos partes: la base el epoete. b (b)(b)(b)(b)...dode b es la base el epoete. Para ecotrar el resultado de la potecia, la base se

Más detalles

EXAMEN DE TÉCNICAS PARA EL ANÁLISIS DEL MERCADO. 11-Septiembre-2014.

EXAMEN DE TÉCNICAS PARA EL ANÁLISIS DEL MERCADO. 11-Septiembre-2014. EXAMEN DE TÉCNICAS PARA EL ANÁLISIS DEL MERCADO. -Septiembre-04. APELLIDOS: DNI: NOMBRE:. Se quiere hacer u estudio sobre las persoas que usa iteret e ua regió dode el 40% de los habitates so mujeres.

Más detalles