TESIS DOCTORAL. Generadores de números pseudoaleatorios en aritmética de residuos: teoría e implementación en FPGAs

Tamaño: px
Comenzar la demostración a partir de la página:

Download "TESIS DOCTORAL. Generadores de números pseudoaleatorios en aritmética de residuos: teoría e implementación en FPGAs"

Transcripción

1 UNIVERSIDAD NACIONAL DE MAR DEL PLATA FACULTAD DE INGENIERÍA DEPARTAMENTO DE INGENIERÍA ELECTRÓNICA TESIS DOCTORAL Geeradores de úeros pseudoaleatorios e aritética de residuos: teoría e ipleetació e FPGAs ING. CARLOS ARTURO GAYOSO Directores de Tesis: Dr. Eduardo I. Boeo Dra. Hilda A. Larrodo MAR DEL PLATA, 7 DE ABRIL DE 009

2 A i esposa Hady, a is hijos Arturo, Hady Isabel y Alejadro, a is padres.

3 AGRADECIMIENTOS Deseo expresar i agradeciieto a is directores de tesis la Dra. Hilda Larrodo y al Dr. Eduardo Boeo por su direcció, asesoraieto y su apoyo gracias a los cuales ha sido posible la realizació de esta tesis doctoral. Quiero agradecer tabié a is copañeros de laboratorio Claudio Gozález, Leoardo Aroe, Miguel Rabii y Jua Carlos García y a Ferado Nuño por su aistad y por ser fuete de cosulta peraete. Al Dr. Atoio García Rios de la Uiversidad de Graada y al Dr. Jordi Carrabia Bordoll de la Uiversidad Autóoa de Barceloa por su apoyo y colaboració e distitas etapas del desarrollo de esta tesis doctoral. A is copañeros de trabajo Daiel Carrica, si su ayuda o estaría aquí, a Alfoso Chacó Rodríguez, por su aistad y ayuda e asutos usicales, a Ferado Nuño, por las discusioes cotiuas sobre todos los teas coocidos y a Esteba Lúcio Gozález por las copras iagiarias de árticulos de priera ecesidad que o podeos coprar. A Roxaa Vadeberghe y a María Graciela Caldetey por su peraete ayuda e is actividades detro de la Uiversidad y por su aistad. A i esposa y a is hijos por su aor, copresió, paciecia y por ser i sosté e este caio. ía. A is padres por habere dado parte de sus vidas para que yo pueda caiar por la A is heraos Hugo y Mariela por teerlos siepre a i lado, quereros y cuidaos utuaete.

4 Resue y Trabajos Previos Resue y Trabajos Previos Trabajos previos realizados co i ujer Hady Lilia.

5 Resue y Trabajos Previos ABSTRACT This thesis deals with the ipleetatio of Hardware Pseudo Rado Nuber Geerators (PRNG) i Field Prograable Gate Arrays (FPGA). The Residue Nuber Syste (RNS) is studied i order to iprove the speed of geeratio. All the proposed PRNG are statistically tested usig stadard techiques (autocorrelatio, spectral aalysis, etc.), tests becharks provided i the ope literature (specially those proposed by Marsaglia to test his Diehard PRNG) ad ew tests based o the study of chaotic systes usig iforatio theory: statistical coplexity, zippig coplexity ad Hurst expoets. This work is orgaizad i six chapters ubered 0 to 5. Chapter 0 cotais the itroductio ad it is a review of digital arithetics. It icludes a short descriptio of hardware ipleetatio evolutio, fro the first itegrated circuits to the ew prograable logic devices, that use Hardware Descriptio Laguage. May origial cotributios ade durig the last 0 years are icluded i this chapter. Chapter is devoted to the descriptio of residue arithetics ad it presets the Basic operatios usig RNS. Several variatios of the RNS ad the use of Galois Fields is also described. A origial proposal for a oe-hot residue syste (ORNS ) ad several applicatios are also icluded i this chapter. Chapter deals with the coversió betwee biary ad RNS. It is also described the ipleetatio of coversors i FPGA. Chapter 3 describes with ore detail the four Basic arithetic operatios, usig RNS. Chapter 4 is the ai chapter of this thesis. It starts with a descriptio of rado ad pseudo rado ubers. Basic statistical tests as well as ew tests to quatify PRNG s quality are described. New easures based o the study of o liear systes are preseted. Several PRNG s usig RNS are proposed. For each oe three differet desig strategies are described. Results of the tests applied to the propoed PRNG s are reported. The chapter eds with a Iage Ecodig exaple ad the copariso of the proposed geerators with liear cogruetial geerators Fially, Chapter 5 deals with the coclusios ad a guidace for possible future work. A uber of origial cotributios are icluded i this thesis. May of the have bee pusblished i atioal ad iteratioal jourals ad coferece proceedigs. Origial cotributios ot yet published are also icluded (see Refereces). A table cotaiig all the acroys ad abbreviatios used i this thesis is icluded at the ed.

6 Resue y Trabajos Previos RESUMEN Esta tesis estudia la ipleetació e hardware de geeradores de úeros pseudo aleatorios (Pseudo Rado Nuber Geerators o PRNGs), e lógica prograable (Field Prograable Gate Arrays o FPGA). Se ivestiga el epelo del sistea uérico de residuos (Residue Nuber Syste o RNS) para icreetar la velocidad a la que los geeradores produce los úeros aleatorios. Tabié se propoe uevos esqueas de PRNGs, basados e el RNS, todos se evalúa desde el puto de vista estadístico ediate tests básicos (autocorrelació y aálisis espectral), baterías de tests dispoibles e la literatura (e especial el baco de tests propuesto por Marsaglia para su geerador Diehard), y ediate uevas técicas estadísticas basadas e el estudio de los sisteas caóticos epleado teoría de la iforació: la coplejidad estadística, la coplejidad de zippig y el expoete de Hurst. La tesis está orgaizada e seis capítulos uerados 0 a 5. El Capítulo 0 cotiee la itroducció y es ua revisió de la aritética digital. Se icluye asiiso ua breve descripció de la evolució de las técicas de ipleetació e hardware, desde los prieros circuitos itegrados hasta las lógicas prograables actuales, e los que el diseño se realiza a través de Leguajes de Descripció de Hardware. Se icluye e este capítulo u úero iportate de cotribucioes realizadas e los últios 0 años. El Capítulo está dedicado a la descripció de la aritética de residuos, presetado las distitas operacioes aritéticas e RNS. Se describe adeás variates que preseta este sistea y el uso de capos de Galois. Se icluye ua propuesta origial del sistea de residuos oe-hot (ORNS ) y ua serie de aplicacioes del RNS. El Capítulo describe las técicas de coversió etre el sistea Biario y el RNS. Se describe asiiso la ipleetació de coversores e lógica prograable. E el Capítulo 3 se retoa co ayor detalle la descripció de las cuatro operacioes aritéticas básicas ediate residuos. Se realiza u estudio profudo de la ipleetació de suadores y ultiplicadores descriptos e VHDL y para distitas cofiguracioes e FPGAs, para deteriar la opció ás coveiete. El Capítulo 4 es el coteido cetral de esta tesis. Coieza co ua descripció de los úeros aleatorios y pseudo aleatorios. Describe los tests básicos de aleatoriedad así coo uevas edidas basadas e el estudio de sisteas o lieales. Se propoe varios geeradores pseudoaleatorios epleado el sistea uérico de residuos y para cada uo de ellos se describe distitas estrategias de diseño. Se reporta asiiso los resultados de todos los tests aplicados para la evaluació de calidad de los geeradores propuestos. El capítulo fializa co u ejeplo de aplicació y co el aálisis circuital de los geeradores propuestos así coo su coparació co los geeradores lieales cogruetes. Fialete e el Capítulo 5 se preseta las coclusioes y ua guía de futuros trabajos que surge coo cotiuació de esta tesis. La tesis icluye u úero iportate de cotribucioes origiales, uchas de ellas publicadas e revistas y proceedigs de cogresos, acioales e iteracioales. Tabié icluye cotribucioes origiales que aú o ha sido publicadas e la literatura abierta (ver Referecias). Para coodidad del lector se icluye al fial ua tabla co las siglas y síbolos utilizados.

7 Resue y Trabajos Previos TRABAJOS PREVIOS Esta tesis surge a partir de la evolució del trabajo que coo docete ivestigador he veido realizado e la Facultad de Igeiería de la Uiversidad Nacioal de Mar del Plata, desde el año 987 e que coezara i carrera docete coo Ayudate de Priera co dedicació parcial hasta llegar a la actualidad al cargo de Profesor Asociado co dedicació exclusiva. Los frutos ás sigificativos e i fució docete ha sido la creació y dictado de las asigaturas optativas: Itroducció al Diseño de Circuitos Itegrados y Diseño Digital co Técicas de Alto Nivel que iiciaro el dictado de esta teática e Argetia. Gracias a la ayuda fiaciera de la OEA, la SECyT y el Cetro Nacioal de Microelectróica de España pudo cocretarse el aterial didáctico y el soporte de software y hardware ecesario para su dictado así coo la posibilidad que los aluos pudiera fabricar los itegrados diseñados e España o Fracia para testear el resultado de su trabajo. El libro 3 Microelectróica Circuitos Itegrados CMOS Digitales, Teoría y Práctica., que publicáraos e coautoría co los restates iebros del grupo de ivestigació fue tabié posible co apoyo de la OEA y la SECyT. Ua de las experiecias ás eriquecedoras fue el diseño del prier circuito itegrado CMOS digital diseñado e uiversidades argetias. El circuito itegrado se fabricó e la epresa ESII Fracia, a través del Cetro Nacioal de Microelectróica de Barceloa, España, ediate u proyecto ultiusuario. La experiecia se repitió luego e la Uiversidad Politécica Salesiaa de Cueca, Ecuador, e oportuidad de dictar u curso de Postgrado e Microelectróica. El desarrollo de la icroelectróica o puede realizarse e fora aislada de ahí la participació del grupo e los prograas IBERCHIP (prograa coordiado por al Cetro Nacioal de Microelectróica, España), SURLABS (prograa coordiado por i director de tesis el Dr. Eduardo Boeo de la Uiversidad Autóoa de Madrid) y LACIS (Lati Aerica Cosortiu of Itegrated Services), costituido por u grupo de uiversidades latioaericaas para el desarrollo de actividades coues e icroelectróica. E lo referete a Software u aporte iportate fue el Sistea de Diseño de Circuitos Itegrados Mediate Matrices de Copuertas, Epleado Redes Neuroales y Efriaieto Siulado. Se trata de ua herraieta de CAD, tipo copilador de silicio que tiee coo etrada la descripció del problea ediate diagraa de estados, tabla de estados, tablas de verdad, diagraas esqueáticos o archivos de descripció de red, y coo salida el layout del circuito que cuple co las especificacioes del problea y que posee toda la iforació ecesaria para que el fabricate lo costruya. De esta aera, se realiza autoáticaete el coexioado de los trasistores, el de los distitos bloques fucioales y el diesioaieto y ubicació de los dispositivos activos, por lo que se reduce los errores y el tiepo de diseño. Existe distitas etodologías para realizar u copilador de silicio. La etodología que se utilizó fue la de Matrices de Copuertas (Gate Matrix). Para uestro país, las herraietas de diseño, la iforació dispoible y las bibliotecas ecesarias so tópicos, básicaete por cuestioes ecoóicas, de difícil acceso. E u iteto por reducir la brecha co los países que os adelata tecológica y ecoóicaete e el Laboratorio de Copoetes Electróicos, ediate la ejecució de E coautoría co: Jorge A. Galatro y Claudio M. Gozález. Claudio M. Gozález y Leoardo Aroe. 3 Autores: Jorge A. Galatro, Carlos A. Gayoso, Claudio M. Gozález y Leoardo Aroe.

8 Resue y Trabajos Previos distitos proyectos se desarrollaro distitas herraietas para el diseño de circuitos itegrados. Se costruyó ua biblioteca de arreglos de copuertas pero co la particularidad de ser paraétrica es decir que o depede del fabricate de circuitos itegrados o de la tecología presete, dado que para ajustarla al diseño e que se esté trabajado sólo es ecesaria su copilació. Se diseñó u ruteador autoático de caal, tabié paraétrico, para el itercoexioado de las celdas. U costructor y posicioador autoático de celdas de biblioteca y de ruteo. Y fialete para validar las herraietas desarrolladas, es decir verificar que e la realidad las cosas ocurría tal cual uestro software lo quería, se diseñaro itegrados CMOS de distita coplejidad y e tecología de,0 y,5 icroes. El étodo de efriaieto siulado es u excelete caio para ecotrar íios e ua fució costo, siepre que se pueda expresar el sistea de fora que le sea aplicable. E particular la fució costo que se iiiza es el úero de itercoexioes que preseta los bloques particioados, presetados ediate ua red euroal de Hopfield que es particularete apta para este trataieto. E esta red cada euroa represeta u trasistor y las iteraccioes etre ellas las itercoexioes. E lo referete a lógica prograable u proyecto represetativo, previo a esta tesis, fue el desarrollo de u Microprocesador Flexible para FPGA, co su correspodiete software de prograació y eulació realizado e Visual Basic. El icroprocesador tiee u úcleo fijo para realizar u cojuto de istruccioes y odos de direccioaieto que sirve coo base para el desarrollo de u icroprocesador ás coplejo ediate el agregado de istruccioes adicioales y eleetos de etrada salida segú la ecesidad. Perite agregar las vetajas de u icroprocesador e u solo circuito itegrado juto co la eoria RAM y ROM que posee alguas FPGAs. Y luego, si el volue de producció es grade, realizarlo ediate MPGA, circuitos itegrados full custo o seicusto. La traslació de u sistea a otro es relativaete secilla puesto que el diseño se realizó e VHDL. Por últio deseo ecioar u proyecto que e es uy caro pues e dio la oportuidad de devolver a la sociedad lo que ésta e bridó al peritire crecer detro de ua Uiversidad Nacioal. Es el de Accesibilidad Iforática Para Discapacitados Motrices Severos. Los discapacitados otrices severos, por caso, sólo puede presioar u pulsador, eitir u soido o over los ojos, el uso de ua coputadora (teclado y ouse), y el cotrol de equipos electróicos hogareños, suele ser barreras, e uchos casos, ifraqueables. Para goberar ua coputadora e estos casos existe paquetes de software pero que preseta los siguietes probleas: a) el software es depediete del sistea operativo epleado, b) el software preseta probleas de portabilidad y copatibilidad, c) el prograa de cotrol ocupa u lugar e la patalla quitado espacio visual a la aplicació que se desea utilizar y d) o está previsto el uso de ouse. El efoque propuesto, e los trabajos que se hiciero e esta área, fue otro. E lugar de buscar la solució vía software se eligió el caio de costruir u sistea extero a la coputadora, es decir ua solució realizada eteraete e hardware. Adeás se le agregó la posibilidad de eular el ouse, co todas sus variates, es decir, oviietos, presioar cualquiera de sus botoes, etc. De esta fora el usuario puede aprovechar por copleto las posibilidades de ua coputadora odera, esto es, escribir, dibujar, usar el correo electróico, avegar por Iteret, etc. Siguiedo las preisas ates ecioadas, se diseñaro tres sisteas para distitos usuarios. La idea es que el equipo diseñado eule la pulsació de ua tecla o el oviieto del ouse ediate algú tipo de coado que le da el discapacitado.

9 Resue y Trabajos Previos E el prier caso los caracteres se visualiza ediate ua atriz de leds, ecediédose uo cada vez. Cuado el usuario desea presioar ua tecla o over el ouse e ua deteriada direcció espera a que se iluie el led correspodiete y presioa u pulsador. El circuito evía etoces a la coputadora, por el coector del teclado o del ouse, las señales correspodietes. Tato el teclado coo el ouse sigue trabajado e paralelo y sigue activos coo si el sistea diseñado o estuviera coectado. La ipleetació del sistea se realizó ediate icroprocesador. Co dos diferecias el segudo y el tercer equipo diseñados so idéticos. E el segudo sistea la parte circuital se resolvió ediate FPGA y se coada ediate u icrófoo, e el tercero, ediate icroprocesador y se dirige ediate oviietos oculares. Adeás a este últio caso se le agregó u cotrol reoto para TV coectado al sistea diseñado, de aera que el usuario puede eceder o apagar el televisor, cabiar de caal, de volue, etc.

10 Ídice ÍNDICE CAPÍTULO 0. INTRODUCCIÓN ARITMÉTICA DIGITAL Asychroous Self-Tiig Additio Carry-Copletio Sesig Adders 3 Coditioal-Su Adder 3 Carry-Select Adder 3 Carrylookahead 3 Otras estrategias para el diseño de circuitos aritéticos 3 Sisteas uéricos, distitas represetacioes 4 Sisteas poderados 4 Sisteas o poderados 4 EJEMPLOS DE OTROS TIPOS DE ARITMÉTICAS 4 Aritética co sigo 4 Aritética racioal 5 Aritética ediate la represetació Zeckedorf 5 Aritética ediate otras represetacioes 6 EL SISTEMA NUMÉRICO DE RESIDUOS 6 Características de iterés del Sistea Nuérico de Residuos 7 Actualidad y perspectiva del sistea uérico de residuos 9 TÉCNICAS INTEGRADAS DE IMPLEMENTACIÓN DE SISTEMAS DIGITALES 0 Full Custo 0 Stadard Cells 4 Gate Array 6 Tecologías digitales prograables (FPGAs) 9 Itroducció 9 Lógica prograable 0 LENGUAJES DE DESCRIPCIÓN DE HARDWARE 8 CAPÍTULO. ARITMÉTICA DE RESIDUOS 3 DEFINICIONES Y PROPIEDADES ELEMENTALES 3 Propiedades de los sisteas uéricos 3 Grupos, capos y aillos 33 TEORÍA DE LAS CONGRUENCIAS 34 Defiicioes y propiedades eleetales 34 Alguos teoreas sobre cogruecias 35 Operacioes aritéticas e ódulo 36 Tablas de sua, producto, resta y divisió. 37 Diferecias etre la aritética e ódulo y la aritética Euclidiaa 37 Siilitudes etre la aritética e ódulo y la aritética Euclidiaa 39 Pequeño teorea de Ferat 40 Álgebra de polioios 4 SISTEMA NUMÉRICO DE RESIDUOS 4 Presetació 4 Represetació de úeros egativos 43 Operacioes aritéticas e el sistea uérico de residuos 43 Isoorfiso 44 Adició y substracció e RNS 44 Multiplicació e RNS 45 Divisió e RNS 46 Ejeplo de operacioes e RNS 46 Otras operacioes e RNS 49 Técicas de coversió 50 Coversió de biario a residuos 50 Teorea Chio del Residuo (CRT) 5 Coversió de RNS a biario 5 I

11 Ídice Sisteas de base últiple (Mixed Radix Syste, MRS) 53 Extesió de base 56 OTROS SISTEMAS NUMÉRICOS DE RESIDUOS 56 Sistea uérico de residuos redudate (Redudat Residue Nuber Syste RRNS) 56 Sistea uérico de residuos coplejo (Coplex Residue Nuber Syste CRNS) 57 Sistea uérico de residuos cuadrático (Quadratic Residue Nuber Syste QRNS) 59 Sistea uérico de residuos oe-hot (ORNS) 6 Sistea uérico de residuos oe-hot propuesto (ORNS ) 63 CAMPOS DE GALOIS 65 APLICACIONES DEL SISTEMA NUMÉRICO DE RESIDUOS 68 Filtros de respuesta fiita a la fució ipulso (FIR) 69 Trasforada discreta de Fourier (DFT) y trasforadas uéricas teóricas (NTT) 7 Geeradores RNS cuasicaóticos 75 CAPÍTULO. TÉCNICAS DE CONVERSIÓN BINARIO-RNS-BINARIO 78 CONVERSIÓN DE BINARIO A RNS 78 Coversor biario a RNS secuecial ediate tablas de búsqueda 79 Coversor biario a RNS e paralelo ediate tablas de búsqueda 80 Coversor biario a RNS basado e la periodicidad de los residuos 8 Coversores biario a RNS basados e la expoeciació e ódulo 85 CONVERSIÓN DE RNS A BINARIO 88 Coversió ediate el Teorea Chio del Residuo 88 Coversió ediate el sistea de raíces ixtas 90 Coversió RNS a biario ediate variacioes del Teorea Chio del Residuo 93 Nuevo Teorea Chio del Residuo I 93 Nuevo Teorea Chio del Residuo II 96 Coversió ediate el Teorea Chio de Residuo Aproxiado 98 Trasforació de RNS a biario ediate cojutos especiales de ódulos 99 IMPLEMENTACIÓN DE CONVERSORES BINARIO-RNS-BINARIO EN LÓGICA PROGRAMABLE 00 Coversió de biario a RNS e FPGAs 0 Coversió de RNS a biario e FPGAs 03 CAPÍTULO 3. OPERACIONES ARITMÉTICAS MEDIANTE RESIDUOS 06 INTRODUCCIÓN 06 SUMA EN RNS 07 Sua e RNS ediate tablas de verdad 07 Sítesis ediate suadores biarios 3 Adició e RNS ediate tablas de búsqueda 7 Técica híbrida 9 Arquitectura propuesta 0 Suadores, estudio coparativo 0 RESTA EN RNS 35 La resta e el RNS se puede realizar ediate cualquiera de los suadores descriptos e SUMA EN RNS si esta se realiza e copleeto al ódulo de la siguiete aera: 35 MULTIPLICACIÓN EN RNS 35 Multiplicació ediate tablas de verdad 35 Multiplicació por tablas de búsqueda 4 Multiplicador cuadrático 4 Multiplicador idexado 45 Multiplicadores, estudio coparativo 47 DIVISIÓN EN RNS 63 COMPARACIÓN Y DETECCIÓN DE REVASAMIENTO 65 CONCLUSIONES 65 II

12 Ídice CAPÍTULO 4. GENERADORES PSEUDOALEATORIOS MEDIANTE EL SISTEMA NUMÉRICO DE RESIDUOS 69 SERIES DE NÚMEROS ALEATORIOS 69 Aplicacioes, úeros aleatorios y pseudoaleatorios 69 Test de aleatoriedad 70 Correlació o autocorrelació 70 Espectro y ruido blaco 7 Etropía y catidad de iforació 7 Batería de tests Diehard 7 Coplejidad estadística 74 Medida de coplejidad ediate prograas copactadores (zippers) 75 Expoete de Hurst o aálisis R/S 76 GENERADORES PSEUDOALEATORIOS PROPUESTOS CON EL SISTEMA NUMÉRICO DE RESIDUOS 78 Estrategia Clase A 80 Estrategia Clase B 80 Estrategia Clase C 8 Geerador lieal cogruete RNS-LCG 83 Geerador cogruete ediate ultiplicació y acarreo RNS-MWCG 85 Geerador cogruete ediate el ultiplicativo iverso RNS-ICG 87 Geerador cogruete ediate sua y acarreo RNS-AWCG 88 Geerador cogruete ediate resta y préstao RNS-SWBG 90 TESTEO DE LOS GENERADORES PSEUDOALEATORIOS PROPUESTOS 9 Test de autocorrelació 93 Aálisis espectral 95 Cálculo de la etropía y de la catidad de iforació 98 Batería de test Diehard 99 Estudio de la coplejidad estadística 04 Coplejidad zippig 06 Cóputo del expoete de Hurst 08 EJEMPLO DE APLICACIÓN DE LOS GENERADORES PROPUESTOS 0 ANÁLISIS CIRCUITAL DE LOS GNPAs PROPUESTOS COMPARACIÓN DE LOS GNPAs PROPUESTOS CON LOS GENERADORES LINEALES CONGRUENTES 3 CAPÍTULO 5. APORTES Y CONCLUSIONES 5 PRINCIPALES APORTES 5 TRABAJOS FUTUROS 6 REFERENCIAS 9 SIGLAS Y SÍMBOLOS 4 TABLA DE SIGLAS Y SÍMBOLOS 4 III

13 Itroducció Itroducció 0 Jack Kilby 4, para etoces igeiero de la epresa Texas Istruets, ivetó el prier circuito itegrado e el año 958, tratado de resolver otro problea, el de la tiraía de los úeros. E ese oeto i él i sus superiores llegaro a iagiar la revolució que acarrearía este iveto e el capo de la electróica a corto palazo. Co la creació del circuito itegrado se ha podido diseñar, etre otras, coputadoras de alta velocidad y eorias capaces de albergar ua gra catidad de datos. Este circuito itegrado estaba forado por u úico trasistor y copoetes pasivos itegrados e ua úica pastilla de silicio de diesioes reducidas. Posteriorete, e el año 967 juto co Jerry Merrya, y Jaes Va Tassel diseñaro la priera iicalculadora. Coteía u solo circuito itegrado, a pesar de ello, teía todos los circuitos electróicos para realizar las cuatro operacioes básicas. [ELE07] 4 Nació e Missouri, (EEUU), e el año 93. Se graduó e las uiversidades de Illiois y de Wiscosi. Kilby coezó a trabajar e el año 947 e la Globe Uio, e Milwaukee, e el desarrollo de circuitos de base ceráica para los aparatos electróicos. E el año 958 pasó a trabajar para la epresa Texas Istruets, dode ivetó el prier circuito itegrado e el año 959. E el año 000 recibió el Preio Nobel de Física copartido co Kroeer y co el ruso Zhores Alferov. Kilby uca pesó que por su iveto recibiría este galardo, declaró que o había previsto esto y de hecho, creía que era uy iprobable. La iveció de Kilby derivó e la gra revolució coceptual que se produjo e todo el capo de la electróica, de hecho acio ua ueva área del coociieto deoiada icroelectróica. Segú Kilby Yo creí etoces que el icroprocesador sería iportate, pero o podía iagiar e qué fora crecería la idustria electróica debido a él. Kilby ha patetado ás de 60 ivetos para aplicacioes coerciales, ilitares, de cosuo y de tecología de icroprocesadores. [ETS07]

14 Itroducció CAPÍTULO 0. INTRODUCCIÓN Desde la aparició de la priera coputadora digital uo de los pricipales teas de estudio ha sido el icreeto de la velocidad de cálculo. Para ello se ha sugerido distitos efoques, uo de ellos es trabajar ediate u sistea de represetació uérica distito de la aritética e copleeto a. E los últios años este iterés se ha visto reforzado debido a los eores progresos tecológicos que se ha dado e el capo de la icroelectróica, que perite ipleetar estrategias que hasta ese tiepo fucioaba uy bie e la teoría pero que e el oeto de su costrucció se veía liitados, o era directaete descartados, por barreras tales coo, alto costo de circuitos de eoria, baja capacidad de itegració, herraietas de CAD iapropiadas o iexistetes, etc. Los recietes y cotiuos avaces e la itegració a gra escala y e la iteligecia de las herraietas de diseño ha peritido que la aritética de residuos pasara de ser ua especulació teórica a ser ua alterativa uy recoedable para ciertas aplicacioes. El uso de FPGAs para su epleo e prácticaete todas las aplicacioes digitales, suado esto a su bajo costo, reusabilidad y rápido prototipado las trasfora e ua herraieta de trabajo ideal. Adeás, de ser ecesario, partiedo del diagraa esqueático o de su descripció ediate leguajes de descripció de hardware, se puede volcar el diseño para ipleetarlo e otra tecología. ARITMÉTICA DIGITAL U puto iportate a teer e cueta es la elecció del sistea uérico de represetació que se utilizará, puesto que de ésta selecció depederá la perforace del circuito aritético diseñado. E efecto, los prieros circuitos aritéticos digitales trabajaba e aritética biaria e Ca para represetar úeros eteros, o e puto flotate o fijo para poder represetar úeros reales. El pricipal problea que tiee estas represetacioes es que si se desea realizar, por ejeplo la sua de dos úeros, se debe propagar el acarreo desde el LSB al MSB, deorado este proceso el cóputo del resultado, retardo tato ayor cuato ayor sea el úero de bits co el que se trabaje. Este problea se extiede a las otras operacioes básicas. Para ejorar la velocidad de cálculo se crearo distitas solucioes vía hardware. Es decir que se sigue trabajado, por ejeplo e Ca, pero se eplea técicas especiales para aticiparle al bit i cual es el acarreo que geera los i 0 bits previos. Alguos ejeplos so: Asychroous Self-Tiig Additio [HWA79] La operació sua ediate la técica de ripple carry tiee u retardo que es igual, e el peor caso a la propagació del acarreo desde el LSB al MSB, de aera que el retardo crece de aera lieal co el úero de bits. Mediate el sistea asychroous self-tiig additio se detecta la secuecia ás larga por la que se debe propagar el carry, que e la ayoría de los casos será eor que el peor caso, auetado e proedio la velocidad de cálculo del circuito. Se deuestra estadísticaete que el tiepo de propagació proedio E p () para úeros de bits es:

15 Itroducció ( ) log E p De aera que el retardo crece de fora logarítica e lugar de hacerlo es fora lieal. Así para la sua de 3 bits se tiee u retardo proedio o ayor a 5 e tato que para dos úeros de 64 bits el retardo proedio es de 6. Se deoia autoteporizado (self-tiig) porque el retardo depede del problea, e este caso de las cobiacioes de los úeros a suar y asícroo porque o se debe cotar co u reloj para cotar el itervalo de tiepo que trascurre para la sua del peor caso. Carry-Copletio Sesig Adders [HWA79] Es igual al aterior. La vetaja adicioal que tiee es que se acopaña de u circuito que idica la teriació de la operació. Coditioal-Su Adder [HWA79] Para cada par de bits a suar se diseña ua celda especial que geera dos suas y acarreos para la etapa siguiete provisioales, esta operació se realiza e paralelo. El paso siguiete es que el acarreo verdadero de la etapa i seleccioe ediate u ultiplexor la sua para la posició i + y el acarreo para los bits i + correctos. Carry-Select Adder [HWA79] E lugar de geerar las suas y acarreos provisioales para cada par de bits se los toa e grupos. Para cada grupo se geera e paralelo las suas co acarreo etrate 0 y. Luego, co el acarreo del grupo aterior de bits, ediate u ultiplexor se seleccioa la sua correcta. Carrylookahead [HWA79] Los acarreos que etra a cada posició se geera e paralelo ediate u circuito adicioal. Coo resultado se obtiee u suador cuyo tiepo de cálculo es idepediete del úero de bits a suar. Otras estrategias para el diseño de circuitos aritéticos Coo se puede deducir todas estas ipleetacioes requiere de hardware adicioal, por lo que posteriorete surgió otro efoque. E lugar de ejorar las prestacioes de los circuitos aritéticos vía hardware, por qué o usar otras foras de represetació uérica para agilizar los cálculos? E efecto, a partir de este uevo paradiga surgiero otros tipos de aritéticas alguas de las cuales, a odo de ilustració, se coeta e EJEMPLOS DE OTROS TIPOS DE ARITMÉTICAS. 3

16 Itroducció Si bie la aritética e Ca tiee la ejor perforace proedio, esto es cálculo, coparació de úeros, detecció de revasaieto, etc. Las uevas aritéticas tiee ejores coportaietos putuales, esto sigifica que por ejeplo será uy veloz para ultiplicar pero iapropiada e la coparació de dos úeros. De esta aera cada ua de ellas tedrá u capo de aplicacioes para la cual será óptia o cuasi óptia, e tato que se la deberá descartar para otras. Sisteas uéricos, distitas represetacioes La represetació de eteros ha sido objeto de itesa ivestigació, tato desde el puto de vista teórico coo práctico. E geeral los sisteas de represetació uérica se puede dividir e dos tipos: los sisteas poderados y los o poderados. Sisteas poderados E los sisteas poderados cada etero a, de dígitos, se puede descopoer e ua serie de caracteres c i de aera tal que: Existe u carácter, deotado co el síbolo 0, para represetar ua catidad ula. El úero de caracteres c es fiito es decir c i œ {0,, b } e dode b recibe le obre de base del sistea. El úero a se represeta etoces coo la sua del polioio: a = i = 0 c b i i Sisteas o poderados E los sisteas o poderados los dígitos o tiee asigado igú peso. EJEMPLOS DE OTROS TIPOS DE ARITMÉTICAS Aritética co sigo E el año 96 Avizieis propuso el sistea deoiado siged-digit uber [MIG00]. E el se elige u úero r deoiado radix de aera que cada dígito ya o se represeta coo u caracter etre 0 y r sio que se lo hace etre los líites a y a e r co a r. Es u sistea poderado, e dode la cadea geérica de caracteres c -, c -, c 0 represeta el úero: 4

17 Itroducció c r + c r c0 Bajo estas codicioes el sistea tiee redudacia, por lo tato u etero cualquiera puede teer ás de ua cobiació de caracteres c i válida. Para teer el íio de redudacia se puede toar a = r/. Ejeplo: si r = 0 y 5 a 5 cada etero tiee ua represetació úica. Así el úero se correspode co la secuecia 5 e dode se eplea la otació y para represetar los úeros 4 y 3. La iportacia de este sistea radica e que la sua de dos úeros se puede realizar e paralelo, es decir se sua los dígitos correspodietes de cada úero siultáeaete, por lo tato o se debe trasportar el acarreo etre los isos. Lo cual reduda e u aueto de velocidad de cálculo apreciable e coparació co los sisteas tradicioales. Aritética racioal Debido a que la sua, resta y ultiplicació de eteros es ua operació cerrada, es decir el resultado es u úero etero, pero o lo es para la divisió, se creo la represetació racioal [JEN99]. E ella cada úero se represeta ediate ua dupla ueradordeoiador, de aera que la divisió se trasfora las operacioes de iversió, secilla de realizar, y ultiplicació. E este sistea hallar el iverso de u deteriado úero requiere sólo el itercabio del uerador co el deoiador, adeás las cuatro operacioes básicas requiere aproxiadaete el iso tiepo de ejecució. Aritética ediate la represetació Zeckedorf Cada etero se puede represetar de aera úica coo la sua de uo o ás úeros de la serie de Fiboacci. La sua o debe coteer dos úeros cosecutivos de la serie, y se descarta e la foració el priero de ellos [JEN99]. Así el úero a se puede represetar coo: a = k i = 0 F ci e dode F es el eésio úero de Fiboacci y c i c i + para i = k. Ejeplo: a = = = =

18 Itroducció pero las dos últias represetacioes o so válidas puesto que y y 34 y 55 so úeros cosecutivos de la serie. El producto de los eteros utilizado la siguiete expresió: a = k i = 0 F ci y b = l j = 0 F d j e este sistea se realiza a. b = k l i = 0 j = 0 F i c + d j Ejeplo: a = y b = 4 tiee la represetació F 3 y F 4 + F por lo tato x 4 = F F 3 + = = 8 Mediate esta represetació la ultiplicació se trasfora e ua serie de suas. Aritética ediate otras represetacioes Se ha propuesto ua gra variedad de sisteas uéricos, cada uo co sus fortalezas y debilidades [JEN99]. Para obrar sólo alguos de ellos teeos: sisteas uéricos de base ixta, p-adic uber syste, aritética basada e el desarrollo de fraccioes cotiuas, sisteas co base egativa, irracioal o iagiaria y el sistea uérico logarítico para siplificar las operacioes de ultiplicació y divisió. EL SISTEMA NUMÉRICO DE RESIDUOS El esbozo del sistea uérico de residuos es ta teprao que se puede reotar al siglo I [JUL9] 5 e Chia. E el libro Suag-chig de Su Tsu aparece los versos: Hay cosas de las que o cooceos el úero Si las cotaos por trios, os queda Si las cotaos por quitetos, os queda 3 Si las cotaos por septetos, os queda Cuátas hay? La respuesta, 33. E este libro se idica el procediieto para ecotrar los restos de las divisioes por 3, 5 y 7 de u úero etero y de cóo llegar a la respuesta. Por ello ua de las técicas epleadas para trasforar u úero expresad e RNS a biario se deoia Teorea Chio del Residuo. Se debió llegar al siglo XIX para que Carl Gauss estudiara este procediieto y la teoría de residuos e geeral e su libro Disquisicioes Aritéticas [GAR99]. El RNS resurgió e uestro siglo co los siguietes trabajos. E 93 D. H. Lehet costruyó ua áquia electroecáica, que llaó criba fotoeléctrica, para factorizar los 5 E otra referecia se discrepa tato e la fecha coo e el obre. Se habla del siglo III o IV y el obre es Su Tzu o Suag-chig Su Tzu. 6

19 Itroducció úeros de Mersee. E el año 955 Svaboda y Valach diseñaro ua áquia a válvulas para trabajar co el RNS e el estudio de códigos de error. Szabo y Taaka, fuero fiaciados a ediados de los 60 por el Departaeto de Defesa de los Estados Uidos de Norte Aérica, e la epresa Lockheed, para el diseño y costrucció de u correlator digital, e tato que otro grupo lo hacía e el diseño de ua áquia de propósito geeral. Si ebargo, debido a la gra catidad de eoria requerida, estos estudios ecotraro poco éxito a ivel práctico. La tecología aú o estaba adura para este tipo de desarrollos. [GAR99] [JUL9]. Co la aparició del circuito itegrado, la gra capacidad de fabricar circuitos de eoria e u área reducida de silicio y otras técicas, se ha posibilitado la cocreció de los aspectos teóricos del RNS e las distitas técicas de itegració. Coo resultado se puede diseñar circuitos, que e alguas aplicacioes, puede copetir y superar las prestacioes de la aritética e Ca. Para citar sólo alguas de ellas teeos: cálculo de la FFT, filtros digitales y sisteas de detecció y correcció de errores. Características de iterés del Sistea Nuérico de Residuos 6 E la electróica actual el udo digital ha ido desplazado al aalógico e uchas aplicacioes debido a que esta preseta diversas vetajas. Para citar solo alguas teeos, aplicacioes e procesaieto de iágees y soido de alta calidad, sisteas ultiedia, copresió de voz e iágees, trasisió de datos, telefoía óvil, televisió digital, etc. El procesaieto de este tipo de señales a eudo eplea algoritos que ecesita operacioes de la fora: y = N k = 0 a k x ( k ) Ecuació dode a so coeficietes coocidos, e geeral, y x e y so las uestras de etrada y salida respectivaete. De querer resolver este tipo de algoritos ediate circuitos electróicos aalógicos se ecesita circuitos ucho ás coplejos que los digitales para realizar las, suas y productos requeridos. Aproxiacioes del coportaieto de los distitos copoetes, variacioes téricas y otras coplicacioes que puede llegar a covertir el problea e prácticaete itratable ediate este efoque. Adeás de poder realizar los algoritos descriptos por la Ecuació los requeriietos actuales deteria que las prestacioes de los circuitos deba ser cada vez ás veloces. Coo se ecioó este icreeto e la velocidad de cálculo se ejora ediate, los avaces de la tecología de fabricació de circuitos itegrados, por edio del estudio de los algoritos epleados y ediate la elecció de la aritética ás adecuada. E la aritética de Ca, co sus distitos esqueas de cálculo, el puto débil es que se debe trasportar el acarreo de ua etapa a la siguiete, esto provoca u retardo que será tato ayor cuato lo sea el úero de bits co que se debe trabajar. E este puto cobra relevacia el RNS, puesto que es u sistea que esta libre de la propagació del acarreo. E efecto, u úero de bits se puede trabajar e ua serie de caales idepedietes y e paralelo, cada uo de los cuales trabaja co u úero de bits uy reducido. Mediate ésta 6 E el capítulo Aritética de Residuos se explica e detalle el RNS. 7

20 Itroducció estrategia se puede icreetar la precisió de trabajo si teer ua pealizació e el tiepo de cálculo, sipleete se agrega los caales que sea ecesarios. El hecho de trabajar e caales idepedietes o sólo trae aparejado que el sistea es carry free. Esta estrategia trae adeás otra vetaja [JEN99], e lugar de ecesitarse u itercoexioado global, este queda reducido a coexioes detro de cada caal. Esto reduda e pistas de coexió ás cortas, y por lo tato e el aueto de la velocidad de cálculo del circuito y e la disiució del cosuo de eergía. E la Figura se uestra el bosquejo de las operacioes requeridas para realizar el cálculo de la DCT de 5 putos. Se toa este caso porque resulta uy apropiado para tratarlo co el RNS. Este esquea preseta ua úica ultiplicació, e el cetro, e tato que el resto de las operacioes so suas o restas. E la Figura se ilustra coo se puede realizar este coputo sobre caales idepedietes co el epleo de la aritética e el RNS. La isa estructura se copia e cada caal de aera que las itercoexioes ecesarias queda cofiadas a cada uo de ellos. Fialete cada caal se puede sicroizar de fora idepediete, e tato que las etradas y salidas lo esté. Figura Trasforada Discreta Coseo de 5 putos. [JEN99] Otro puto a teer e cueta e uchos diseños, por ejeplo, e los equipos electróicos portátiles, es que tega u bajo cosuo de eergía. E [STO0] se realiza u estudio de la reducció de disipació de potecia e alguos circuitos ipleetados e RNS co su cotraparte biaria. E particular para u filtro FIR la arquitectura que eplea RNS reduce el cosuo de potecia debido a que se reduce: El costo del hardware. la actividad de cada bit. Por ejeplo e u ultiplicador de 4 x 4 esta disiució es de hasta u 38%. La fuete de alietació. 8

21 Itroducció Más aú, la coparació etre u filtro FIR, e su fora directa y trabajado co úeros coplejos co su cotraparte QRNS 7 para la isa prestació de datos procesados por uidad de tiepo, requiere para ésta últia la itad de área y la tercera parte de cosuo de potecia que e la ipleetació tradicioal. Figura Cóputo de de la DCT sobre caales idepedietes. [JEN99] Actualidad y perspectiva del sistea uérico de residuos Origialete los circuitos aritéticos basados e el RNS, coo se verá ás adelate, se ipleetaba sobre eorias que actuaba coo tablas de búsqueda. El costo de las eorias era deasiado alto y su capacidad reducida, dos razoes para que esta estrategia fuera poco práctica. Si ebargo hoy e día, gracias al gra avace e el capo de la icroelectróica, se ha reducido cosiderableete el costo por bit de eoria, a esto se sua el hecho de que su capacidad se ha icreetado de aera expoecial. Adeás la gra escala de itegració alcazada tabié perite otro tipo de solucioes que o ecesita el epleo de tablas de búsqueda. Es por ello que la llegada de los dispositivos VLSI o ULSI ha dado u uevo ipulso a la aritética de residuos, así coo tabié a otras, co la posibilidad de icluir todo u sistea e u úico circuito itegrado. Por otro lado, e los últios años, ha surgido co fuerza la idea de los circuitos lógicos prograables, ás aú, su costo por copuerta decrece de aera asobrosa y su velocidad de fucioaieto crece peraeteete. Éstas so razoes de peso para hacerlos copetitivos e uchas aplicacioes. Coo ha ocurrido co todos los dispositivos electróicos, desde su aparició, los circuitos lógicos prograables se ha ido especializado. Desde las prieras PAL e las que el usuario teía, siepre que la capacidad del dispositivo lo peritiera, sitetizar todo sistea digital, hasta las oderas FPGAs ás especializadas. E efecto hay FPGAs co bloques de 7 Variació del RNS que sirve para trabajar co úeros coplejos y que se verá e Sistea uérico de residuos cuadrático (Quadratic Residue Nuber Syste QRNS) [BIZ97] 9

22 Itroducció eoria, co coversores aalógico a digital, co icroprocesadores, co circuitos de couicació bajo distitas oras, co bloques aritéticos, etc. Los circuitos lógicos prograables so ua alterativa iteresate e la ipleetació de todo tipo de sisteas digitales, ás aú teiedo e cueta que si se parte de ua descripció ediate el epleo de leguajes de descripció de hardware se puede prototipar a corto plazo y trasladar el resultado a u ASIC, co las vetajas que trae aparejadas ésta técica. TÉCNICAS INTEGRADAS DE IMPLEMENTACIÓN DE SISTEMAS DIGITALES 8 Supógase que se desea ipleetar u sistea digital cualquiera. El puto de partida es ua descripció de uy alto ivel, es decir, del coportaieto deseado del sistea. E este puto se deteria las etradas y salidas que debe teer, la velocidad de fucioaieto íia ecesaria, cosuo áxio peritido, etc. Para siplificar el diseño, si este es coplejo, se lo puede dividir e bloques. Cada uo co u propósito deteriado pero tabié co ua descripció que idica que es lo que se desea que haga, pero o coo hacerlo i co que. Esto es posible gracias al poder de sítesis de los actuales copiladores de circuitos descriptos e leguajes de alto ivel. El resultado puede ser algo coo lo idicado e la Figura 3. Ua de las pregutas que surge es co que tecología ipleetar dicho circuito. Microcotrolador, Procesador Digital de Señales, Circuito Itegrado Full Custo, Sei Custo o ediate Circuitos Itegrados de Lógica prograable? Esta preguta, a priori, o tiee respuesta y o la tiee hasta tato o se deterie, etre otras, las codicioes de cotoro del sistea, tales coo: se usará para cálculo uérico itesivo? para cotrol? so iportates el bajo cosuo y la velocidad? cuátas uidades se fabricará, deceas, iles, illoes? para cuádo se ecesitará el prototipo, seaas o eses?, etc. A edida que estas pregutas va teiedo respuesta se va descartado posibilidades hasta que se llega a la solució óptia o cuasi óptia [GAL95] [MAR96] [SCH97]. E los siguietes apartados se da ua breve descripció las distitas alterativas, descartado las solucioes co icrocotrolador y DSP puesto que so las ás coocidas. Full Custo E u circuito itegrado full custo el diseñador diseña parte o todos los bloques que itegra el sistea, trasistor por trasistor y coexió por coexió. Ejeplo: Supógase que se desea realizar la ipleetació Full Custo de u circuito ultiplicador de 4 bits. Los pasos a seguir so los siguietes: 8 Todas las figuras y layouts de este apartado ha sido realizadas por el autor de esta tesis para el dictado de la asigatura de grado optativa Itroducció a la Microelectróica. E ésta los aluos diseñaba y se eviaba a fabricar u circuito itegrado a la epresa ESII de Fracia coo parte de u chip ultiusuario juto co otras uiversidades de Aérica Latia y España. 0

23 Itroducció Figura 3 Ejeplo de sistea digital a sitetizar. Priero. Seleccioar el algorito de ultiplicació. Para el caso se toó la fora tradicioal de realizar el producto de dos úeros, sea estos x e y. X3 X X X0 Y3 Y Y Y0 X3Y0 XY0 XY0 X0Y0 X3Y XY XY X0Y X3Y XY XY X0Y X3Y3 XY3 XY3 X0Y3 P7 P6 P5 P4 P3 P P P0 Segudo paso. Ver si e el circuito existe algú tipo de regularidad, si la hay, e lugar de diseñar todo el sistea se podrá plaear pequeñas celdas que se repetirá e el proyecto. E este caso particular veos que se puede partir de ua celda que realice la operació lógica ad de dos bits (igual a la ultiplicació aritética de los isos) y a la sua total de los bits previos ás el acarreo de la celda adyacete. Esta celda se ilustra e la Figura 4 : P C X I I I Y I + C I+ P I+ Figura 4 Celda eleetal de u circuito ultiplicador. Si se aaliza el circuito se puede ver que es posible descopoerlo e otras celdas eleetales. Este hecho, adeás de siplificar el trabajo, perite realizar u diseño paraétrico, es decir que se puede eplear las isas celdas eleetales para ultiplicar dos eteros co u ayor úero bits, iiizar retardos y realizar bloques que se ajuste etre si fácilete. Ver Figura 5

24 Itroducció Tercer paso ver Figura 6 y Figura 7 : Diseñar el layout 9 de cada celda. E la Figura 8 se puede apreciar el layout fial del circuito ultiplicador. Y0 X3 X X X0 Y P Y P Y3 P P P7 P6 P5 P4 Figura 5 Disposició y celdas que copoe el ultiplicador de 4 bits. Coo se puede apreciar para este tipo de técica se requiere ua ao de obra altaete especializada co herraietas de diseño de alto costo y tiepos de salida al ercado ayores que co las técicas que se detalla e los apartados siguietes. Si ebargo cuado lo que iporta es el bajo cosuo, la alta velocidad, u área reducida de silicio y ua producció elevada seguraete será la ejor opció. Coo cotrapartida cuado el úero de sisteas a realizar o es elevado, o se posee ao de obra especializada o las herraietas de diseño co que se cueta debe ser de bajo a ediao costo tal vez ua de las alterativas siguietes sea ua posibilidad ejor. 9 Layout. Descripció geoetrica de u ciruito itegrado e la que cada figura, geeralete u rectágulo, le idica al fabricate el proceso a realizar; regió, regió p, silicio policristalio, etc.

25 Itroducció Fialete, coo eleeto adverso al diseño Full Custo se tiee el hecho que e el udo existe uchos ás igeieros que diseña sisteas digitales que aquellos que diseña circuitos itegrados. Por ésta razó estos últios o puede satisfacer la deada de los prieros. Para superar este cuello de botella se crearo las solucioes alterativas que se describe a cotiuació. E estas el diseñador de sisteas ya tiee parte del problea resuelto. P C X I I I VDD VSS Xi Y I + C I+ P I+ Yi Figura 6 Layout de ua de las celdas eleetales del ultiplicador. Figura 7 Layout de las otras celdas eleetales que copoe el ultiplicador. 3

26 Itroducció Figura 8 Layout fial del ultiplicador. Coo ejeplos de realizació de sisteas ediate circuitos itegrados full custo se puede ver las referecias [FIS97] [GAO95] [GAO97b] [GAO97c] [GAO99] [GAO99a] [PAG99] [PEL98] [PEL98a] [PEL99]. E tato que e [BEL97] [BEL97a] [GAL95] [GAO9] [GAO9a] [GAO93] [GAO96] [GAO96a] [GAO97d] [GAO98] [GAO98a] [GAO98b] [GAO98c] [GAO99b] [GOM95] se preseta distitos trabajos de desarrollo de herraietas de CAD para ayudar al diseñador de circuitos itegrados e la tarea de llegar al layout del sistea co eor probabilidad de errores y ua ayor autoatizació e las distitas etapas del diseño. Stadard Cells Cuado se trabaja co ésta técica se dispoe de ua biblioteca de celdas oralizadas que provee el fabricate de circuitos itegrados. Se cueta co la vetaja de que cada celda ha sido testeada rigurosaete. Cada celda debe cuplir co los siguietes requisitos, Figura 9 : a) todas las celdas tiee la isa altura, b) el largo de la celda depede de la coplejidad de la isa, c) los putos de etrada y salida está e la parte superior e iferior de la isa, d) las líeas de alietació corre de aera horizotal y tiee la isa posició y acho e todas las celdas. E la Figura 0 se ve ejeplos de layouts de celdas oralizadas. La fora de trabajar del diseñador es escoger las celdas que ecesita e ir colocádolas ua a cotiuació de otra. A fi de que el layout fial tega ua relació de aspecto aproxiadaete cuadrada, regularete se cabia de regló. De fora que la apariecia que va cobrado el diseño es coo la que se observa e la Figura. E la Figura se puede ver u ejeplo de layout fial de u circuito itegrado realizado ediate celdas oralizadas. 4

27 Itroducció Puesto que el fabricate o sabe que celdas i e que lugar las epleará el diseñador debe fabricar el diseño que este le evía desde cero, es decir partir del prier proceso que es el pozo y fializarlo co las itercoexioes etálicas. Largo variable V DD Alto fijo V SS Putos de etrada y salida Figura 9 Esquea de layout de ua stadard cell. Figura 0 Ejeplos de layouts de stadard cells. Celda Celda Celda 3 Acho de caal variable Celda 4 Celda 5 Celda 6 Celda 7 Celda 8 Celda 9 V V DD SS Figura Esbozo de u circuito itegrado co la estrategia de stadard cells. 5

28 Itroducció Figura Ejeplo de u circuito itegrado stadard cell. Coo ejeplos y desarrollo de herraietas de circuitos itegrados realizados ediate esta técica ver [GAO94] [GAO94a] [GAO97] [GON00]. Gate Array Al cotrario de las dos técicas ateriores el fabricate ya tiee e stock iles de circuitos itegrados cuasi teriados, solo falta que le diseñador le idique coo itercoectar las celdas que hay e el. De ésta aera el tiepo de diseño y fabricació se reduce otableete [GAO00b]. E efecto, el fabricate ya posee circuitos itegrados cuyo esquea se ve e la Figura 3. 6

29 Itroducció Caales de itercoexió Líeas de trasistores Celdas de etrada salida Figura 3 Esquea de u circuito itegrado gate array. Si bie el circuito esta forado por ua serie de celdas, a diferecia de stadard cells, estas so todas iguales, coteiedo u úero de trasistores variable, geeralete etre 4 y 0, parcialete coectados. Las líeas de alietació tabié se dispoes horizotalete y los putos de acceso está el las caras superior e iferior. Si se idica que es ua celda NP sigifica que cotiee dos trasistores MOS de caal N y dos de caal P, ver Figura 4. E la Figura 5 se uestra u ejeplo de persoalizació. VSS VDD VSS VDD Celda NP VSS VDD Celda 3N3P Celda 3N3P + NP Figura 4 Ejeplo de celdas de u gate array. V DD V SS V DD B Z A Z V SS A B Celda 3N3P Figura 5 Persoalizació de ua celda coo ua copuerta ad de dos etradas. 7

30 Itroducció El diseñador persoaliza cada celda idicado coo debe coectarse los trasistores ediate, por ejeplo, dos iveles de etal. E la Figura 6 se idica el layout de ua celda NP + 3N3P y e la Figura 7 la etalizació que debe realizar el fabricate para covertirla e la copuerta ad de etradas que se aprecia e la Figura 8. Figura 6 Layout de ua celda NP + 3N3P. Figura 7 Metalizació ecesaria para forar ua copuerta ad de etradas. Figura 8 Layout fial para ua celda tipo gata array, para fucioar coo ua copuerta ad de etradas. 8

31 Itroducció Coo tabié es ecesario coectar las celdas etre si, las filas está separadas por u caal destiado a tal propósito, Figura 9. Fialete las celdas de etrada-salida tabié se puede persoalizar idividualete, por ejeplo para que actúe coo etradas, salidas, bidireccioales, co resistecia de pull up, etc. Figura 9 Líeas de celdas cotiuas y equiespaciadas. Tecologías digitales prograables (FPGAs) 0 Itroducció La pricipal diferecia etre productos y procesos es el diseño. El diseño es u proceso creativo que deteria la fució, fora, capacidad, y fialete la utilidad del producto o proceso. Las decisioes durate la etapa de diseño deteria la facilidad co la que se puede fabricar el producto o realizar u proceso o e el que se puede orgaizar su costrucció. E geeral, durate el prier 0% del tiepo de desarrollo total de u uevo producto se debe toar el 80 % de las decisioes. U diseño pobre prácticaete o se puede trasforar e u producto superior, si iportar cuato esfuerzo se le dedique. Mietras que las tecologías de fabricació ha ejorado e ucho su eficiecia, esta ejoría o se ha visto acopañada e la isa edida por étodos de diseño que las acopañe. Acopañado a esto hay u cabio rápido e la tecología de copoetes seicoductores, tales coo icroprocesadores y eorias. Los icroprocesadores ejora 0 El autor de esta tesis dicta desde hace uos ocho años la asigatura optativa Diseño Digital co Técicas de Alto Nivel el aterial de la isa está a disposició de los lectores. Esta asigatura fue creada juto co el Dr. Claudio Gozález. Hasta dode sabeos uestra facultad es el úico lugar dode se dicta ua ateria dedicada eteraete a FPGAs y VHDL. E otras uiversidades a lo suo es u pequeño capítulo de otra ateria o se dicta coo u curso exepcioal fuera de la curricula de la carrera correspodiete. Es iportate destacar este tea puesto que a uestro eteder hoy día debería ser ua ateria obligatoria de grado, o porque los circuitos de lógica prograable sea la ejor solució pero si ua alterativa iportate que se debe teer e cueta al oeto de decidir que técica usar para la realizació física de u sistea digital. 9

32 Itroducció sus prestacioes u 60% cada año, e tato que, las eorias cuadruplica su capacidad cada tres años. Estos cabios que se produce ta rápidaete e la tecología coduce a que los uevos productos quede, e u período de tiepo relativaete corto, obsoletos. Las pricipales copañías de productos electróicos obtiee la pricipal parte de sus igresos de productos que tiee eos de tres años de atigüedad. A lo cual se le sua el efecto de la gra copetecia a ivel udial, que las obliga a itroducir peraeteete productos uevos. Muchos de los artículos electróicos de hoy e día tiee u ciclo de diseño y fabricació iferior a los seis eses. Ua proyecció de esta tedecia os dice que e u futuro o uy lejao se podrá fabricar productos eteraete persoalizados sobre deada. Para teer éxito se requiere etoces de u ciclo de diseño, costrucció de prototipos y fabricació de sisteas digitales coplejos, que ocupe el eor tiepo posible. El diseño es u proceso iterativo, e el que se debe obteer priero u prototipo e u tiepo lo ás breve posible, para realizar ua experietació, evaluació y testeo lo ás precisa posible. E este puto es dode se vuelve valiosas las uevas estrategias de diseño basadas e circuitos lógicos prograables y leguajes de descripció de hardware. Durate el desarrollo de esta tesis se sitetizaro todos los circuitos ediate FPGAs (Field Prograable Logic Array). No porque coo se dijo ates sea, a priori, la ejor solució, sio porque es ua herraieta de bajo costo co la cual se puede prototipar y evaluar e poco tiepo las distitas ipleetacioes de u circuito deteriado, co resultados uy satisfactorios. Adeás co el diseño descripto e VHDL se puede pasar rápidaete a cualquiera de las ipleetacioes ateriores. Lógica prograable Los dispositivos lógicos prograables, deoiados FPGAs, perite realizar u prototipo e u tiepo icreíbleete breve e coparació co los étodos tradicioales. Realizado el prototipo, y ua vez que se ecuetra perfectaete testeado hay varios caios a seguir, los cuales depede pricipalete del volue de sisteas a costruir, la tecología e el cual se debe isertar, costo de oportuidad, etc. Estas alterativas so, adeás de realizarlo directaete e FPGA, las señaladas co aterioridad e este iso apartado. Los coceptos claves ivolucrados e ua FPGA so : Debe ser u copoete stadard a fi de poder fabricarlos e grades volúees y reducir su costo. E la Figura 0 se ilustra la arquitectura geeral de ua FPGA. Deber de cofigurable o recofigurable por el usuario. Por ello debe teer: Itercoexioes recofigurables. Fucioes lógicas recofigurables. Celdas de etrada y salida recofigurables. Los dispositivos lógicos progrables, depediedo del fabricate, de la fora de prograació o de su arquitectura, recibe distitos obres EPLDs (Erasable Prograable Logic Device), CPLDs (Coplex Prograable Logic Device), PALs (Prograable Logic Device), GALs (Geeric Array Logic), etc. La tedecia actual es eglobarlos bajo la deoiació úica de FPGAs. El cocepto de dispositivo lógico prograable fue ivetado y patetado por S. Wahlstro e 967, pero era ua idea deasiado adelatada para la técologia de la época, por lo que quedó relegada durate uchos años. 0

33 Itroducció La idea de itercoexioado prograable se puede realizar, ver Figura, distribuyedo pistas de etal a lo largo del circuito itegrado y dispoiedo etre ellos algú eleeto que perita coectarlos a volutad. Estos eleetos so: Figura 0 Arquitectura geeral de ua FPGA. Figura Itercoexioado prograable ediate trasistores MOS. Fusibles. So aleacioes, de distitos tipos, que colocados etre dos pistas de etal, da ua alta cotiuidad eléctrica etre ellos. Para ipedir la circulació de corriete etre dos pistas se debe fudir el fusible haciedo circular por el ua corriete de valor elevado. Fue el prier eleeto de prograació que se epleó. Características: Se puede prograar ua sola vez. Los tests que se realiza e fábrica so destructivos. Requiere circuitos especiales para hacer circular ua alta corriete. Necesita procesos especiales de fabricació. No tiee ua alta cofiabilidad. Alta desidad de itegració. Bajo costo. Atifusibles. So coexioes etre pistas de etal oralete abiertas. Mediate la circulació de ua alta corriete el aterial de separació etre las pistas se trasfora e u edio coductor de baja resistecia. Hay dos tipos. El priero desarrollado por Actel y deoiado PLICE (Prograable Low-Ipedace Circuit Eleet) [ACT07] y el segudo

34 Itroducció es el que eplea la epresa QuickLogic y que deoia Via Lik [QUI07]. E el prier caso el paso a coducció se debe a la fusió de u dieléctrico etre dos capas de silicio, e el segudo por fusió de silicio aorfo etre dos pistas de etal. E la Figura se uestra de aera esqueática la tecología epleada por Actel. Etre el ivel de polisilicio y el de difusió + existe u dieléctrico que la epresa deoia ONO (Óxido- Nitruro-Óxido, SiO -Si 3 N 4 -SiO ). Al aplicar la corriete de prograació, aproxiadaete 5A, se produce la fusió de la delgada capa de dieléctrico trasforádose la regió e ua resistecia de aproxiadaete 500 Ω, si la corriete de prograació es ayor el valor de la resistecia disiuye. El la Figura 3 se uestra el diagraa esqueático de los atifusible Via Lik. El dieléctrico que separa los dos iveles de etal es ua aleació de tugsteo-titaio-silicio. De la isa aera que e el caso aterior el paso de ua corriete elevada, uos 5 A, cabia las propiedades de la uió que la trasfora es ua resistecia aproxiadaete 80 Ω. Co respecto a la tecología aterior preseta dos vetajes. E prier lugar se tiee ua itercoexió directa etre etal y etal, por lo que requiere u área eor y preseta eor capacidad parásita, e segudo lugar se tiee ua eor resistecia de itercoexió. Características pricipales: Se puede prograar ua sola vez. Los tests que se realiza e fábrica so destructivos. Requiere circuitos especiales para hacer circular ua alta corriete. Necesita procesos especiales de fabricació. So ás cofiable que los fusibles. Alta desidad de itegració. Bajo costo. Figura Atifusible polisilicio difusió. Figura 3 Atifusible etal-etal. Trasistores MOS. Las itercoexioes SRAM, ver Figura 4 y Figura está foradas por u trasistor MOS cuyo estado, abierto o cerrado se eoriza ediate u flip flop e el oeto de prograació. Particularidades: Se requiere de u proceso CMOS stadard. Se puede testear de aera exhaustiva e fábrica. Para la recofiguració o hay ecesidad de retirar la FPGA de la plaqueta, se puede prograar directaete sobre esta, propiedad que se deoia ISP (I Syste Prograig). Cada coexió ecesita seis o ás trasistores. Es la técica de itercoexioado que requiere ayor área de silicio.

35 Itroducció La prograació es volátil. Se requiere de ua eoria o volátil extera de prograació. Figura 4 Itercoexió SRAM. Trasistores EPROM o EEPROM. E esta estrategia, coo se uestra e la Figura 5, se eplea trasistores MOS co doble gate. La idea es que ediate ua prograació adecuada se puede odificar la tesió ubral de los trasistores, por ejeplo llevádola a u valor ás alto que el de la fuete de alietació, Figura 6. E abos casos la prograació se realiza de aera eléctrica. Para el borrado e las EPROM se eplea luz de ua deteriada logitud de oda ietras que e la EEPROM este procediieto se realiza eléctricaete, lo que perite la prograació si ecesidad de retirar la FPGA del circuito ipreso. Características: Alta desidad de itegració. Cosue u área algo ayor que u atifusible. So reprograables. Se puede realizar tests copletos e fábrica. Prograació o volátil. Se requiere u proceso de fabricació ás coplejo que el CMOS coú. Figura 5 Itercoexió prograable EPROM/EEPROM. Figura 6 Tesió ubral para el trasistor si prograar y luego de la prograació. 3

36 Itroducció Las celdas para la sítesis lógica de los circuitos digitales está basadas prácticaete e dos esqueas, suas de térios producto, Figura 7, y tablas de búsqueda (Look-up Table, LUT), Figura 8. Figura 7 Celda de sítesis lógica basada e sua de térios producto. Figura 8 Celda de sítesis lógica basada e tablas de búsqueda. Cada celda lógica es capaz de resolver ua fució booleaa. Hay celdas lógicas uy secillas, de grao fio y otras ás coplejas, de grao grueso. Cuato ás copleja sea la celda se puede sitetizar e u úico bloque fucioes co ayor úero de variables, pero tabié es ayor la posibilidad de subepleo de las isas. A eor 4

37 Itroducció grauralidad el epleo de las celdas es casi total pero se requiere ayores recursos de itercoexió a fi de vicular celdas que resuelve pequeñas partes del problea. E la Figura 7 se ilustra la celda eleetal utilizada por Altera e la serie MAX, deoiada acrocelda. Esta disposició es uy parecida a la de las priitivas PAL, sítesis ediate térios producto, difiere co estas e que se puede reprograar ediate trasistores EEPROM. E la Figura 8 se uestra la celda eleetal que eplea la failia FLEX de Altera, deoiada LE (Logic Eleet), basada e LUTs. Cada celda puede sitetizar cualquier fució de cuatro variables. La salida puede ser cobiacioal o registrada ediate el flip flop que posee a su salida. Adeás, para sitetizar de aera as eficiete circuitos aritéticos, cueta co u bloque especial que perite geerar el acarreo, que le etrega a la celda siguiete, ediate el cálculo de la sua de los dos bits que igresa a la celda y el acarreo que proviee de la aterior. Tabié, ediate el diseño especial que tiee esta celda (cascade chai), perite ecadear varias celdas para sitetizar fucioes booleaas de ás de cuatro variables co caios de bajo retardo. E la Figura 9 se uestra la celda eleetal utilizada por Xilix [XIL07] e la serie Puede sitetizar dos fucioes de cuatro variables o ua de cico. Las salidas puede ser cobiacioales o secueciales depediedo de su paso o o por los flip flops de salida. Xilix deoia a sus celdas eleetales CLBs (Cofigurable Logic Block). Figura 9 Celda lógica de la failia 4000 de Xilix. Existe otros esqueas de celdas eleetales eos epleados por la idustria. Uo de ellos es el caso de Actel [ACT07] e el deoiado S-Module. Cada celda está copuesta de tres ultiplexores de a, ua copuerta AND, ua OR y u flip flop. Se trata de celdas 5

38 Itroducció de grao fio. Actel puede trabajar co estas celdas ta siples debido a que posee grades recursos de itercoexió por realizarlos ediate atifusibles. Figura 30 Celda de etrada/salida se la failia 7000 de Altera. Figura 3 Celda de etrada/salida e la serie FLEX de Altera. Fialete las celdas de etrada salida tabié so cofigurables. Desde esqueas secillos coo el caso de la failia MAX 7000 de Altera, Figura 30 hasta otros ás 6

39 Itroducció sofisticados coo el de la serie FLEX de la isa epresa, Figura 3. E el prier caso se trata de u circuito secillo que perite defiir la celda coo de etrada o salida y que adeás posee u buffer tri-state. E el caso de la failia FLEX las celdas so ás sofisticadas, e efecto, adeás de las características señaladas e el caso MAX 7000, posee flip flops que puede capturar datos desde el exterior o registrar los datos de salida, cotrol de slew rate, resistecias de pullup o pulldow, etc. E la Figura 3 se uestra el esquea parcial de ua FPGA de Xilix, la XC300. Se puede apreciar que los CLBs de distribuye de aera uifore sobre la pastilla de silicio. Las celdas de etrada/salida cubre la periferia y el itercoexioado es segetado, es decir que existe distribuidas pistas de etal de distita logitud a lo largo de la FPGA. Altera por el cotrario, ver Figura 33, realiza u itercoexioado jerárquico. Figura 3 Esquea parcial de ua FPGA. Caso XC300. E el caso de Altera existe itercoexioes locales, que coecta ua celda co la cotigua, por ejeplo las líeas de acarreo, veciales, que sirve para coectar grupos de celdas cercaas y agrupadas e los deoiados LABs (Logic Array Block) y globales, es decir líeas que se utiliza para coectar celdas que perteece a distitos LABs. Xilix, por el cotrario, eplea el itercoexioado segetado, o existe jerarquía etre las distitas pistas de etal. Posee si ebargo alguas pistas especiales. Por ejeplo cada celda está uida co sus cuatro adyacetes ediate pistas rápidas. Líeas especiales ligadas a buffers tri-state, destiadas a forar buses, líea largas, para propagar ua señal a varias celdas, etc. 7

40 Itroducció Figura 33 Itercoexioado jerárquico e la failia FLEX de Altera. Las aplicacioes de las FPGAs abarca prácticaete todo el capo de la electróica, así podeos ecotrar desarrollos, etre uchos otros, e trasisió de datos basados e rayos ifrarrojos [ARN0] [ARN0a] [ARN0b] [ARN03] [ARN03a] [ARN03b], codificadores decodificadores [ARN04] [ARN05] [ARN05a] [ARN05b] [ARN06a] [ARN07] [ARN07a] [ARN07b] [ARN07c], aplicacioes édicas [ARN0a] [ARN0] [GAO0a], icroprocesadores softcore [GAO00] [GAO00a] [GAO00c], educació [GAO03a], ayuda a discapacitados [GAO06] [GON07], geeradores de ruido [ZAB08], cursos de diseño de circuitos itegrados [SCH97] [SCH95] [SCH95] [SCH97], etc. LENGUAJES DE DESCRIPCIÓN DE HARDWARE El creciieto espectacular del coociieto huao, co su cosiguiete icreeto e coplejidad, e uestro caso particular, diseño, siulació, verificació e ipleetació de sisteas digitales coplejos, ha dejado obsoletas las atiguas estrategias que teía el diseñador de sisteas. No sólo se hace ecesario desarrollar uevas técicas sio que tabié se produce ua revolució coceptual e el diseño. El igeiero ya o puede estar ta próxio a los circuitos a ivel trasistores sio que, debido a la coplejidad del problea a resolver, debe cabiar su filosofía de trabajo. E efecto, es ecesario que pueda describir el sistea a diseñar cada vez co u ayor grado de abstracció. Tratar de describir el coportaieto deseado del sistea si iportar, e priera istacia, coo se resuelve i co que eleetos. 8

41 Itroducció Este creciieto vertigioso de la icroelectróica ha dado pie a que la etodología de diseño sea top-dow, basada e el epleo de leguajes de descripció de hardware, que ha trasforado los procediietos de diseño de sisteas electróicos. Existe uerosos leguajes de descripció de hardware, el VHDL y el Verilog so los ás epleados [PER03] [PRE03a]. Adeás, se tiee la facilidad de que perite la siulació y sítesis de partes del sistea co diferetes iveles de abstracció. Esto, uido a la posibilidad de realizar la sítesis autoática, y a la creació de bloques circuitales reutilizables y paraetrizables e fució de las ecesidades de la aplicació, ha peritido dotar al diseñador de eores recursos que hace posible abordar la creciete coplejidad co ayores garatías de éxito. Ejeplo: Descripció VHDL de u ultiplexor de dos etradas. architecture coportaieto of ux is begi process( a, b, ctrl ) begi if ( ctrl = 0 ) the z <= a; else z <= b; ed if; ed process; ed coportaieto; Coo se puede apreciar 3, se le dice al copilador que se desea que haga el circuito a sitetizar, pero o se le idica i co que resolverlo i coo. VHDL, ás aú e su ueva versió 008 que icorpora poderosas herraietas de verificació fucioal, se está covirtiedo, juto co Verilog, e uo de los leguajes de descripció de hardware ás epleados. Si bie esta herraieta facilita el diseño y verificació de sisteas digitales, su elevado grado de abstracció puede hacer perder de vista el cotexto de aplicació, costos, plazos, cosuo, etc. Por lo que se hace ecesario u coociieto profudo de las estrategias de sítesis que eplea las herraietas de diseño y de la tecología e que se lo cocretará, FPGA, Fullcusto, etc. Cuato ayor sea este coociieto ejores será los resultados. Los leguajes de descripció de hardware está eliiado las técicas de diseño tradicioales, basadas e bibliotecas de copoetes, ódulos de captura esqueática, etc. Esto es válido para los sisteas digitales, e los aalógicos la situació es ás copleja, puesto que el diseño de circuitos de este tipo requiere de u alto grado de coportaieto huao, coo ituició, focalizar rápidaete los posibles ejores caios si ecesidad de recorrerlos a todos, etc., características difíciles de odelizar e ua herraieta de sítesis. Los leguajes de descripció de hardware perite lograr u grado de abstracció ayor que los viejos esqueas de diseño al peritir, por ejeplo, idicarle al copilador cual es el coportaieto deseado del circuito o sistea, si teer que preocuparse e decidir que eleetos eplear i e coo utilizarlos. Este es u problea trasparete al diseñador, lo resuelve de aera autoática la herraieta de desarrollo que esté epleado, por ejeplo Quartus e Altera [ALT08] o ISE e Xilix [XIL08]. 3 E rojo se idica las palabras reservadas del sistea. 9

42 Itroducció Otra de las tedecias actuales, deoiada hardwirig, es la de ipleetar algoritos e hardware e lugar de resolverlos por software. Cada vez es ás frecuete ver coo algoritos que se desarrolla y verifica sobre coputadoras fialete se ipleeta e hardware, e cualquiera de sus variates. U ejeplo, ya atiguo, so las uidades aritéticas co que viee provistos los icroprocesadores o los ás oderos DSPs. Otro ejeplo so los circuitos de couicació stadard ás coues, caso de Lucet y Siees, e las que se itegra los protocolos de couicació co sus distitas rutias, esto, adeás de ejorar la perforace, perite liberar al icroprocesador de este trabajo dejádole tiepo dispoible para otras tareas. Coo ejeplo fial podeos ver coo los algoritos de codificació de video y criptografía se ipleeta e hardware a fi de ejorar la velocidad de fucioaieto. Es decir que si bie e u pricipio u algorito se piesa para ser ejecutado e ua coputadora hoy día es cada vez ás frecuete que terie siedo realizado e algua de las tecologías vistas. Ateriorete existía ua clara líea divisoria etre el diseño de hardware y software. Esta separació es cada vez ás teue y difusa ya que se está desarrollado herraietas, co u grado de abstracció aú ayor que los leguajes de descripció de hardware, deoiadas de codiseño hardware-software. El sistea decidirá, aportádole ciertas reglas de cotoro, que se resuelve por software, es decir icroprocesador, y que por hardware. Gracias a las uevas etodologías de diseño y de ipleetació casi de cotiuo se acuña uevos térios para referirse a oderas estrategias e los procesos de creació de u uevo sistea. Hoy so coues térios coo FCCMs (custo coputers o coputadoras a edida basadas e FPGAs), SOC (Syste o a Chip), SOPC (Syste o a Prograable Chip), sisteas evolutivos o autoreparables, etc. E los que se eplea las técicas señaladas ateriorete. Por lo señalado a lo largo de este capítulo se decidió sitetizar los circuitos e FPGAs y realizar su descripció e VHDL. 30

43 Aritética de Residuos Aritética de Residuos E el periodo copredido etre los siglos IV y III a. C., los sacerdotes ayas ivetaro u sistea de ueració basado e la posició de valores, que iplica la cocepció y uso de la catidad ateática cero 4. [WAN00] La uidad del caledario aya era el día o ki. Al segudo orde de uidades, copuesto de 0 kies se le dio el obre de uial. E u sistea perfecto y lógico de ueració vigesial el siguiete tério será el 400 ( x 0 x0), pero al llegar a este puto los ayas itrodujero ua variate para cálculos caledarios. El tercer orde del sistea aya, el tu, se copoía de 8 (e lugar de 0) uiales o 360 (e lugar de 400 kies). Esto se aproxia ás a la duració del año solar. Después del tercer orde, las uidades de progresió so de a 0, coo se uestra e la tabla siguiete, e que costa los valores uéricos y los valores de periodo de tiepo. 0 kies = uial o 0 días 8 uiales = tu o 360 días 0 tues = katú o 7.00 días 0 katues = baktú o días 0 baktues = picú o días 0 pictues = calabtú o días 0 calabtues = kichiltú o días 0 kichiltues = alautú o días 4 Frageto del códice Tro-Cortesiao o códice Madrid. Depositado e el useo de Aérica de Madrid. 3

44 Aritética de Residuos CAPÍTULO. ARITMÉTICA DE RESIDUOS E el presete capítulo se realiza ua breve itroducció a la teoría de las cogruecias, coezado por ua serie de defiicioes y propiedades eleetales, que se prosigue co u resue de las pricipales diferecias y siilitudes que preseta las aritéticas de Euler y la Gaussiaa. Se preseta el sistea uérico de residuos o RNS (Residue Nuber Syste) co sus variates, ejeplos de las cuatro operacioes básicas y de coversió biario a RNS y RNS a biario. Y fialete se detalla alguas de las aplicacioes del RNS. DEFINICIONES Y PROPIEDADES ELEMENTALES Propiedades de los sisteas uéricos Las pricipales propiedades, que so coues a la ayoría de los sisteas uéricos, se detalla e las siguietes defiicioes. Estas propiedades so ecesarias a fi de lograr u sistea coherete que sea capaz de represetar el udo real ediate ua serie de reglas cocisas que posibilite su aipulació, desde las operacioes aritéticas ás secillas, hasta algoritos coplejos, tales coo trasforadas y procesaieto digital de señales e geeral. Rago diáico (M) [JUL9]: El rago diáico de u sistea uérico se defie coo el itervalo sobre el cual se puede represetar los eteros co los cuales se está trabajado. Represetació úica [JUL9]: E este caso cada úero perteeciete al sistea tiee ua úica represetació. Redudacia [JUL9]: Se dice que u sistea uérico es redudate cuado existe eos úeros (o catidades a represetar) que cobiacioes de dígitos. Es decir que diferetes cobiacioes de caracteres puede represetar ua isa catidad. Obviaete la o represetació úica iplica redudacia. Sistea uérico poderado o pesado [JUL9]: Se dice que u sistea uérico es poderado cuado existe u cojuto de pesos w y otro a de dígitos peritidos tal que cualquier úero X se puede expresar ediate: X = a w i = i i 3

45 Aritética de Residuos Si los valores de w correspode a potecias cosecutivas de u úico úero se dice que el sistea es de base o raíz úica, este es el caso del sistea decial. Por el cotrario, si los w i o so potecias del iso úero se dice que el sistea es de base ixta. Etre las vetajas ás sigificativas que posee los sisteas de base úica se puede destacar la facilidad co que se puede realizar las coparacioes de agitudes, detecció de sigo y revasaieto. Grupos, capos y aillos [KRI94] La aritética de residuos trata co úeros eteros, ás aú, para trabajar e ella se ha desarrollado ua serie de algoritos basados, e alguos casos, e algú tipo particular de propiedad que preseta cojutos especiales de úeros y las operacioes que los relacioa. Estos cojutos de úeros, segú sus características, se puede clasificar coo grupos, aillos o capos, segú las siguietes defiicioes: Grupo (G). Se dice que u cojuto G de M eleetos relacioados ediate ua operació aritética o fora u grupo si satisface los siguietes requisitos: Para dos eleetos cualquiera a, b G, si a o b = c etoces c G. Ley asociativa para la operació o. ( a o b ) o c = a o ( b o c ) a, b, c G. Eleeto idetidad. Existe u eleeto e G / a o e = a a G. Eleeto iverso. Para cada a G existe u eleeto b G / a o b = e. De estas defiicioes se desprede que u grupo es u sistea uérico co ua úica operació, la sua (+) o el producto (.). Si adeás satisface la ley coutativa (a o b = b o a), se dice que se trata de u grupo coutativo o Abeliao. Se deoia orde de G al úero de eleetos, M, que perteece al iso. Aillo (A). U aillo es u cojuto que cotiee M eleetos y que satisface las siguietes codicioes: A es coutativo bajo la operació de sua. Posee los eleetos idetidad, deoiado cero, y el iverso que perteece a A y se deota por 0 y a respectivaete. Para dos eleetos cualquiera a, b A, si a.b = c etoces c A. Ley asociativa para el producto. ( a. b ). c = a. ( b. c ) a, b, c A. Ley distributiva del producto respecto de la sua. a. ( b + c ) = a. b + a. c a, b, c G. Si el aillo bajo estudio satisface adeás la ley coutativa para el producto, se dice que es u aillo coutativo. El úero de eleetos, M, deteria el orde del aillo. U aillo es u cojuto de eleetos co dos operacioes aritéticas, la sua y el producto. Capo (C). U capo es u cojuto de eleetos sobre los cuales está defiidas todas las operacioes. U capo se puede defiir coo u aillo coutativo que cotiee el eleeto idetidad para el producto (deoiado uidad) y deotado coo y el ultiplicativo iverso para cada eleeto o ulo de C deotado a - es decir: a. = a y a.a - = 33

46 Aritética de Residuos El orde de C es el úero de eleetos que cotiee. E la siguiete tabla se idica las características de alguos cojutos de úeros segú las defiicioes dadas ateriorete: Cojuto de úeros Aillo Capo Orde Eteros Racioales Reales Coplejos Z(M), M copuesto 5 M G(p) 6 p Tabla Propiedades de alguos cojutos de úeros [KRI94]. TEORÍA DE LAS CONGRUENCIAS Defiicioes y propiedades eleetales La aritética e ódulo fue desarrollada por K. F. Gauss ( ) e 80 [BOG96]. Gauss ecotró que las solucioes de uchos probleas de teoría de úeros depede de las propiedades que tiee los restos cuado se divide los úeros por ciertos eteros positivos. Se dice que dos úeros a y b so cogruetes o iguales e ódulo si y solo si (a b), es decir si su diferecia es divisible e fora exacta por [BOG96][PET7]. Esto se deota ediate: a b (ód ) Por ejeplo: 7 (ód 5), 3 - (ód 3). La defiició que se acaba de dar de cogruecia es equivalete a decir que se cuple las relacioes: a b = k a = b + k dode k es u etero. Se deota co a al cojuto de los úeros cogruetes e ódulo. Si el úero b a etoces, por defiició, a y b tiee el iso resto (o residuo) cuado se los divide por. Puesto que existe posibles restos cuado se divide por, hay etoces diferetes cojutos a. Estos cojutos so: 5 Sistea uérico de residuos. 6 Capo de Galois. 34

47 Aritética de Residuos 0 = 0, =, =, 3 = 3, 4 = 4,... a = - Las relacioes de cogruecia e ódulo so tabié relacioes de equivalecia e el cojuto de eteros puesto que cuple co [PET7]: reflexiva: a a ( ód ) para todo etero a; siétrica: si a b ( ód ) etoces b a ( ód ) para todo par de eteros a y b; trasitiva: si a b ( ód ) y b c ( ód ) etoces a c ( ód ) tera de eteros a, b y c. Alguos teoreas sobre cogruecias A cotiuació se lista alguos teoreas sobre cogruecias, que se da si su deostració, la isa se puede ecotrar e [PET7]. Si a b ( ód ) y c es u etero, etoces: Si a b ( ód ) y c es u etero, etoces: Si a b ( ód ) y c d ( ód ) etoces: Si a b ( ód ) y c d ( ód ) etoces: Si a b ( ód ) y c d ( ód ) etoces: a + c b + c ( ód ) ac bc ( ód ) a + c b + d ( ód ) a - c b - d (ód ) a c b d (ód ) Si a b ( ód ) y es u etero positivo etoces: a b ( ód ) Si a b ( ód ) y c d ( ód ) y r y s so eteros etoces: a r + c s b r + d s ( ód ) Si a b ( ód ) y P( x ) es ua fució polióica de x co coeficietes eteros etoces: P(a) P (b) (ód ) 35

48 Aritética de Residuos Operacioes aritéticas e ódulo Se deuestra [BIZ97]: Sua e ódulo: Idetidad que se puede geeralizar: a + b = a + b = a + b x i = i = i = De aquí e ás la sua e ódulo se deotará: x i a b o a + b Multiplicació e ódulo: a * b = a * b = a *b x i = i = i = x i El producto e ódulo se deotará de aquí e ás: a b o a*b Resta e ódulo: a - b = a - b = a - b a - b = a - b + = a + - b = a + - b = a + b Esta operació se sibolizará: a θ b o a - b No siepre se pude defiir la divisió [BOG96], por ejeplo: 5 0 = = = = = 5 0 Por lo que el cociete 5 0 / o es úico. Tabié: 5 0 = = = = =

49 Aritética de Residuos algo que o ocurre e la aritética coú, el resultado de u producto da cero, sólo si uo o los dos operados so iguales a cero. Si ebargo si se puede defiir la divisió cuado el ódulo de trabajo es u úero prio. La otació epleada para la divisió e ódulo será: a b o a / b Tablas de sua, producto, resta y divisió. A cotiuació, a odo de ejeplo, y de acuerdo co los euciados del puto aterior, se uestra las Tabla a la Tabla 5 ecesarias para realizar las cuatro operacioes básicas e ódulo 7. Estas tablas preseta, etre otras, las siguietes propiedades [BOG96]: Para la operació sua, las filas cosecutivas se geera rotado la aterior u lugar a la izquierda. Las tablas de sua y producto so siétricas co respecto a la diagoal pricipal. Las tablas de substracció o so siétricas pero, al igual que e el caso de la sua, las filas se obtiee rotado la aterior u lugar, e este caso, a la derecha. E las tablas de ultiplicació la últia fila es siepre la iversa de la priera (rotació copleta). Para el úero prio ( + ), las tablas de ultiplicació da la solució para el problea de la torre del juego de ajedrez. E u tablero de x se puede colocar torres de fora tal que igua de ellas ataca a otra, esto se obtiee reeplazado u dígito deteriado por ua torre y eliiado el resto. Bajo las isas codicioes del puto aterior el úero aparece e el casillero superior izquierdo y e el iferior derecho y e igú otro puto de la diagoal pricipal. Para las tablas de ultiplicar las diagoales ayores so palidróicas. E las tablas de adició co u úero par de filas la diagoal pricipal cotiee solaete la itad de los restos. E la diagoal pricipal aparece cada resto dos veces. Diferecias etre la aritética e ódulo y la aritética Euclidiaa Núeros. E la aritética Euclidiaa se opera co u cojuto ifiito de úeros, es decir todos los eteros, e aritética e ódulo se trabaja co u cojuto fiito, tabié llaado clase residual, {0,,, 3,... }. a b = 0 E la aritética de base úica a b = 0 es posible solaete cuado a, b o abos so cero. Por el cotrario, por ejeplo, 6 3 = 0 e aritética e ódulo, si ebargo i i 3 so iguales a cero e ódulo 6. 37

50 Aritética de Residuos Raíces de polioios Tabla Tabla de sua e ódulo Tabla 3 Tabla de sustracció e ódulo 7. x Tabla 4 Tabla de ultiplicació e ódulo 7. / Tabla 5 Tabla de divisió e ódulo 7. 7 La tabla se obtiee restado los úeros de la priera colua de los ubicados e la priera fila. 8 La tabla se obtiee dividiedo los úeros de la priera colua por los ubicados e la priera fila. 38

51 Aritética de Residuos El teorea fudaetal del álgebra eucia que para todo polioio de orde se tiee exactaete raíces, e cabio, e la aritética Gaussiaa, el úero de raíces depede del polioio. Por ejeplo, para ecuacioes lieales se puede teer: 3 x + = 0 5 tiee ua úica solució, 3. 3 x + = 0 6 o tiee solució. 3 x - 6 = 0 9 tiee tres solucioes:, 5 y 8. Ecuacioes lieales siultaeas E aritética e ódulo u sistea de ecuacioes lieales puede teer distitas solucioes. Multiplicativo iverso. Si 0 a < y a b = se dice que a es el ultiplicativo iverso de b, que se deota, a = b -. Se puede garatizar la existecia del ultiplicativo iverso, b -, si y sólo si el cd(b, ) = y b 0, y, e este caso, es úico. E la aritética Euclidiaa igú etero, salvo el, tiee iverso. E aritética Gaussiaa, co ódulo prio, todo úero tiee su ultiplicativo iverso. Si el ultiplicativo iverso de b e ódulo, b -, es a, etoces: a - = b Ejeplo: a 7 = 4 a - 7 = puesto que 4 7 = Represetació geoétrica Los úeros eteros se puede represetar geoétricaete coo putos sobre ua recta. Sobre ella se defie u valor costate y los eteros estará ubicados sobre valores últiplos de esta costate a partir del 0 y e abas direccioes. De aera que se obtiee ua correspodecia etre alguos putos de esta recta y el cojuto de los eteros. E cabio, para la relació de cogruecia ódulo, la represetació geoétrica se pude ver coo u círculo dividido e arcos de la isa logitud. Cada extreo de estos arcos se hace correspoder co ua clase residual ódulo. Esta relació se puede apreciar e la siguiete figura para = 5: {, -7, -, 3, 8, 3, } {, -6, -, 4, 9, 4, } {, -7, -3,, 7,, } {, -0, -5, 0, 5, 0, } {, -9, -4,, 6,, } Siilitudes etre la aritética e ódulo y la aritética Euclidiaa Propiedad coutativa. a + b = b + a a * b = b * a 39

52 Aritética de Residuos Propiedad asociativa. a + ( b + c ) = ( a + b ) + c a ( b * c ) = ( a * b ) c Propiedad distributiva ( a + b ) c = a * c + b * c Eleeto ulo 0 + a = a Eleeto uidad * a = a Aditivo iverso a + ( - a ) = 0 Factoreo Si u polioio f (x) = 0 tiee ua raíz a, etoces: f (x) = g (x) ( x a ) Pequeño teorea de Ferat Si p es u úero prio se cuple [BIZ97]: otra fora equivalete de euciarlo es: a p p = a p a p - p = p La iportacia de este teorea radica e la facilidad co que se puede hallar el ultiplicativo iverso de u úero. E efecto, el ultiplicativo iverso de u úero a p 0 es: a p - p puesto que por el teorea de Ferat a p p a = Coo cosecuecia de este teorea ua ecuació de la fora tiee ua solució úica y es a p X = b p 40

53 Aritética de Residuos X p = a p b = a p p b Álgebra de polioios [KRI94] Defiició: Dado u capo C o u aillo A u polioio a(x) se defie coo a(x) = a 0 + a x + a x + + a x co x se deota ua catidad ideteriada sobre C o A y los coeficietes a 0, a, a, a perteece a C (para u polioio defiido sobre C) o A (para u polioio defiido sobre C). El grado de a(x) es el ayor etero i para el cual a i 0. Todos los eleetos de G o A se puede expresar coo polioios de grado 0 y se deoia escalares. Defiició: La sua y ultiplicació de polioios se realiza de la siguiete aera a ( x) + b( x) = ( a b ) i + i i x i y ( a j bt j ) a ( x). b( x). t i = respectivaete. Dode + y. Está defiidas sobre C o A. x t SISTEMA NUMÉRICO DE RESIDUOS Presetació E la aritética coú el tiepo de cálculo está directaete relacioado co el tiepo de propagació del acarreo o préstao ecesario para realizar las operacioes de sua y resta, el tiepo para realizar la operació aueta al icreetarse el úero de dígitos co que se trabaja. E el sistea uérico de residuos o RNS (Residue Nuber Syste) cada residuo es idepediete de los deás, o se requiere trasferir iforació etre los isos, por lo que e este sistea o hay ecesidad de acarreo y es, por lo tato, especialete apto para ipleetar sisteas aritéticos de alta velocidad. Para represetar cualquier etero a e RNS se coieza eligiedo u cojuto de ódulos {,, 3,... }, ediate el cual se codifica a e u cojuto {a, a, a 3,... a } que so los residuos de a e cada uo de los ódulos. Por ejeplo, co = {3, 4, 5} se tiee para a = 5, a = {,, 0}. 4

54 Aritética de Residuos La codició para que a, co 0 a < M, tega ua úica represetació RNS es que los ódulos i sea relativaete prios toados de a pares, es decir: cd( i, j ) = i j de esta aera la represetació es úica para cada úero x que cuple co: 0 x < i = i = M dode es el úero de ódulos co que se trabaja y M el rago diáico, o el úero de catidades distitas que se puede represetar para u cojuto de ódulos deteriado. Deostració: Supógase que existe dos úeros x y z que tiee la isa represetació e el sistea uérico de residuos y abos eores que M: y i = y ód i z i = z ód i e dode y i = z i " i. De aera que y z es últiplo de cada i y a la vez del íio coú últiplo del cojuto de los i. Pero el íio coú últiplo de este cojuto es M puesto que los i so relativaete prios co lo que y z sería últiplo de M e y y z o podría ser siultáeaete eores que M. Por ejeplo, si = {3, 4} la codificació de los úeros del 0 al es la siguiete: a = 3 = Tabla 6 Represetació RNS de los úeros positivos para = {3, 4}. esta represetació se repite co período. Coo se puede observar e la Tabla 6 surge las siguietes dificultades para el sistea RNS: Coparació de úeros. Extesió del rago diáico. Detecció de sigo y rebasaieto. 4

55 Aritética de Residuos probleas que o tiee el sistea biario atural. Por últio, si los ódulos o so relativaete prios la catidad de úeros que se puede represetar ya o es el producto de los ódulos sio que es el íio coú últiplo de ellos [MON86], por ejeplo, si = {4, 6} se puede represetar las catidades desde 0 hasta, e lugar de 0 a 3. Represetació de úeros egativos [MON86] [BIZ97] De aera siilar a coo ocurre e el sistea biario existe dos foras de represetar los úeros egativos e RNS. La priera de ellas cosiste toar el valor absoluto del úero y agregar u bit de sigo, e tato que, la seguda, es idética a la represetació e copleeto. E efecto, para u rago diáico M se reserva los úeros copredidos e el rago [0, M / ] para represetar los úeros positivos y el rago [- M /, ] para los egativos. Por lo que el úero a se represeta coo {a, a,... a } e tato que - a será { a, - a,... - a }. E la Tabla 7 se represeta los úeros del 6 al 5 e RNS co ódulos 3 y 4. a = 3 = Tabla 7 Represetació RNS de los úeros aturales para = {3, 4}. Operacioes aritéticas e el sistea uérico de residuos Los bloques costructivos eseciales de circuitos aritéticos e VLSI para DSP o CDSP so aquellos que realiza las cuatro operacioes básicas, por lo que so de iportacia fudaetal e la ipleetació de cualquier sistea basado e RNS. Se explica a cotiuació las características pricipales de cada ua de ellas e el sistea uérico de residuos, a ivel ateático, dejado su ipleetació e hardware para el CAPÍTULO 3. OPERACIONES ARITMÉTICAS MEDIANTE RESIDUOS. Siepre que o se diga lo cotrario se supodrá que se trabaja co el etero a, represetado ediate el cojuto {a, a,... a } defiido ediate los ódulos {,,... } e dode el rago diáico M es

56 Aritética de Residuos Isoorfiso Siguiedo la atigua idea de Aristóteles sobre la ateria y la fora, e el siglo XX se defiió la oció ituitiva de estructura. Segú esta represetació ua estructura es u cojuto X al que se le puede aplicar ciertas operacioes, coo sua y producto, o relacioes, coo ordeació, o descopoerlo e ciertos subcojutos bajo deteriadas reglas. Segú la idea aristotélica el cojuto X es la ateria y las operacioes, relacioes, etc., e él defiidas so la fora. E ateáticas se toa el cocepto de isoorfiso del pesaieto de Plató, segú el cual lo que iporta es la fora. Ua aplicació o relació, sibolizada f:x Y, etre dos cojutos que tiee el iso tipo de estructura es u isoorfiso cuado cada eleeto de Y proviee de u úico eleeto de X y f trasfora las operacioes, relacioes, etc. que hay e X e las que hay e Y. Cuado etre dos estructuras hay isoorfiso, abas so idistiguibles, tiee las isas propiedades, y cualquier euciado es siultáeaete cierto o falso para los dos cojutos. Ejeplos: a) Si X es el cojuto de los úeros reales positivos e el que está defiida la operació producto e Y es el cojuto de los úeros reales e el que esta defiida la sua, el logarito l: X Y es u isoorfiso, porque l(ab) = l(a) + l(b) y cada úero real es el logarito de u úico úero real positivo. Esto sigifica que cada euciado sobre el producto de úeros reales positivos tiee (si ás que sustituir cada úero por su logarito) u euciado equivalete e térios de la sua de úeros reales. b) Si e el espacio E se elige ua uidad de logitud y tres ejes utuaete perpediculares que cocurre e u puto, etoces a cada puto del espacio podeos asociarles sus tres coordeadas cartesiaas, obteiedo así ua aplicació f:e R³ e el cojuto de las sucesioes de tres úeros reales. Cuado e E cosideraos la distacia que defie la uidad de logitud fijada y e R³ cosideraos la distacia que defie la raíz cuadrada de la sua de los cuadrados de las diferecias, f es u isoorfiso. Éste descubriieto fudaetal de Descartes perite euciar cualquier problea de la geoetría del espacio e térios de sucesioes de tres úeros reales, y este étodo de abordar los probleas geoétricos es el corazó de la llaada geoetría aalítica. El descubriieto de u isoorfiso etre dos estructuras sigifica esecialete que el estudio de cada ua puede reducirse al de la otra, lo que os da dos putos de vista diferetes sobre cada cuestió y suele ser esecial e su adecuada copresió. Tabié sigifica ua aalogía coo ua fora de iferecia lógica basada e la asució de que dos cosas so la isa e alguos aspectos, aquellos sobre los que está hecha la coparació. E ciecias sociales se puede aplicar ua ley aáloga por o existir ua específica o tabié la coparació de u sistea biológico co u sistea social, cuado se trata defiir la palabra sistea. Lo es igualete la iitació o copia de ua estructura tribal e u hábitat co ua estructura urbaa. Adició y substracció e RNS 44

57 Aritética de Residuos Al estar defiida la aritética de residuos sobre el aillo de los eteros ódulo M, y por ser este a su vez isoórfico [BIZ97][GAR95] a la sua directa de los aillos correspodietes a los diferetes ódulos que defie el RNS, las operacioes se realiza directaete y e fora separada e cada caal. Las operacioes de adició y substracció de dos eteros a y b e el sistea uérico de residuos está dadas por el siguiete procediieto: si z M = a ± b M, tiee ua represetació RNS dada por {z, z,... z } los z i se obtiee de la siguiete aera: o bie para la sua, y para la resta. z i i = a i ± b i i, z M ö {a b, a b, a 3 3 b 3,... a b } z M ö {a θ b, a θ b, a 3 θ 3 b 3,... a θ b } Coo puede apreciarse cada dígito z i se obtiee e fora idepediete de los deás, de aera que o hay ecesidad de acarreo o préstao, por lo que se puede operar co todos los dígitos e fora siultaea. Tabié se debe resaltar que el resultado se obtiee e ódulo M, por lo que el rago diáico se debe elegir lo suficieteete grade a fi de evitar revasaieto. Multiplicació e RNS Por lo expresado e el parágrafo aterior, si z M = a * b M, la represetació de z e RNS se obtiee [BIZ97]: z M ö {a b, a b, a 3 3 b 3,... a b } detro del itervalo [0, M - ] solaete el etero a * b M tiee esta codificació. Al igual que la sua y la resta, la ultiplicació está libre de propagació de igú tipo de acarreo, o es ecesario trasportar igú tipo de iforació etre dígitos, cada uo es idepediete de los deás. Fialete el resultado debe ser eor que M. U aspecto iteresate de la ultiplicació ediate residuos, para ódulos pequeños, es que se puede realizar ediate tablas de búsqueda de taaños razoables. Este o es el caso cuado se trabaja e el sistea biario, e efecto, si se trabaja co ua logitud de palabra de bits la tabla de verdad tiee variables y fucioes, lo que la hace poco práctica aú para ódulos pequeños. Por el cotrario e RNS cada dígito requiere ua tabla co i etradas y tatas fucioes coo bits tega ese caal. Coo puede verse, al exteder este razoaieto, la coplejidad de ipleetar cualquier operació ediate LUTs es la isa, sea sua, resta, producto o divisió, puesto que el resultado tiee tatos bits coo cada uo de los operados co los que se está trabajado. 45

58 Aritética de Residuos Divisió e RNS La operació de divisió ediate residuos se puede dividir e tres categorías [BIZ97]: Prier caso: divisió co resto cero. E este caso el dividedo es u últiplo exacto del divisor y este y M so relativaete prios. Para cada i se tiee: b a i = a i b si y sólo si a divide a b e fora exacta y cd(a, i ) =. Segudo caso: operació de escala. El cabio de escala es u procediieto, epleado e procesaieto de señales, ediate el cual uo de los operados es u factor fijo, lo que perite ua gra siplificació e coparació co el ecaiso geeral de divisió [BOG96]. E los sisteas uéricos poderados, o de base úica, el cabio de escala es uy secillo cuado el factor es ua potecia exacta de la base, puesto que basta co realizar operacioes de desplazaieto para obteer el resultado. E residuos esta operació o es ta siple, si ebargo es ás secilla de realizar que la operació de divisió geeral, y relativaete fácil si el factor de escala es el producto de alguos de los ódulos co los que se trabaja. Tercer caso: divisió geeral. Se trata de la divisió de u etero arbitrario por otro etero cualquiera. Ejeplo de operacioes e RNS [TAY84] Sea = {3, 4, 5}, por lo tato será M = 60 co lo que, si se trabaja sólo co úeros aturales, se puede represetar las catidades de 0 a 59, e tato que si se trabaja co úeros eteros se puede defiir el itervalo [0, 9] para los positivos y el [-, -30] para los egativos, coo se ilustra e la Tabla 8. E la Tabla 9 se uestra ejeplos de operacioes realizadas sólo co úeros aturales. E la Tabla 0 se uestra las isas operacioes realizadas co úeros eteros. Se toa el cojuto de ódulos = {3, 4, 5, 7}. Co este cojuto se puede represetar e el RNS los úeros copredidos etre 0 y 09. Para cada operació se toa las cuatro posibilidades, si los operados so a y b, se tiee: a > 0, b > 0; a > 0, b < 0; a < 0, b > 0 y a < 0, b < 0. 46

59 Aritética de Residuos Si sigo Co sigo = 3 = 4 = Tabla 8 Represetació co sigo y si sigo para = {3, 4, 5}. Decial = 3 = 4 = ód 3 6 ód 4 5 ód 5 = {,, 0 } ód 3 0 ód 4 - ód 5 = {, 0, 4 } 7 3 x ód 3 9 ód 4 6 ód 5 = { 0,, } Tabla 9 Operacioes realizadas co úeros aturales e el RNS. 47

60 Aritética de Residuos Decial = 3 = 4 = 5 = 7 Resultado RNS ód 3 ód 4 4 ód 5 ód 7 = {,, 4, } (- ) ód 3 ód 4 5 ód 5 5 ód 7 = {,, 0, 5} ód 3 3 ód 4 5 ód 5 9 ód 7 = {, 3, 0, } (- ) ód 3 3 ód 4 6 ód 5 6 ód 7 = {, 3,, 6} ód 3 ód 4 0 ód 5 - ód 7 = {,, 0, 5} (- ) ód 3 ód 4 - ód 5 ód 7 = {,, 4, } ód 3 3 ód 4 ód 5 - ód 7 = {, 3,, 6} (- ) ód 3 3 ód 4 0 ód 5 ód 7 = {, 3, 0, } 7 3 x ód 3 0 ód 4 4 ód 5 5 ód 7 = {0, 0, 4, } 7 3 x (- ) ód 3 0 ód 4 6 ód 5 6 ód 7 = {0, 0,, 6} x ód 3 0 ód 4 6 ód 5 0 ód 7 = {0, 0,, 6} x (- ) ód 3 0 ód 4 9 ód 5 6 ód 7 = {0, 0, 4, } Tabla 0 Operacioes básicas e el RNS para úeros aturales. 48

61 Aritética de Residuos Otras operacioes e RNS [GAR99b] E los sisteas covecioales cada posició tiee asigado u peso, de aera que si se desea coparar dos úeros se lo hace dígito a dígito y es secillo realizar el hardware para realizar esta operació. De la isa aera, por ejeplo si se trabaja e biario, es fácil deteriar el sigo, basta co ver el bit ás sigificativo, tato si se trabaja e copleeto a la base o a la base eos uo. E el sistea uérico de residuos esta operació o es ta secilla. Por ejeplo si = {3, 4, 5} o se puede afirar que el cojuto de residuos {,, 3} es eor que 7, puesto que la iforació o esta presete e cada residuo sio e el cojuto e cuestió. La iforació está distribuida por igual e cada residuo. Esta característica restrige o liita la aplicació de este tipo de aritética, e geeral, a aquellos casos e que se debe procesar los datos ediate u úero iportate de suasrestas, ultiplicacioes y e las que el úero de bits co que se debe trabajar es elevado. Dado que las operacioes aritéticas e RNS está defiidas sobre u grupo cíclico o existe desbordaieto u overflow. Esto o quita, si ebargo, que si se desea sitetizar u algorito deteriado, por ejeplo u filtro FIR, o se deba asegurar que e cada etapa igú resultado supere el rago diáico M, puesto que si así ocurriera el resultado sería erróeo. E los sisteas tradicioales la detecció de desbordaieto es secilla, e el RNS hay que realizar ua coparació de agitud que o es ua tarea fácil. Se debe trabajar co u úero de bits tal que e igú oeto se supere el rago diáico. Al tratarse de ua aritética o poderada la correcció de errores es u problea iportate, ás aú teiedo e cueta que la detecció/correcció es e alguos casos ecesaria para la protecció de circuitos aritéticos y de trasisió de datos. La pérdida o error e u bit se puede trasforar e u cabio sustacial del resultado, ietras que e los sisteas poderados el error es fució de la posició del bit erróeo. Si ebargo el sistea RNS tiee dos propiedades iportates que lo hace especialete iteresate e el procesaieto digital de señales. RNS esta libre de la propagació de acarreo y cada residuo o tiee poderació, por lo que estas dos propiedades lo hace jugar u rol iportate e los sisteas tolerates a fallas. E efecto, el que sea libre de los probleas de la propagació del acarreo deteria que si se produce u error e u deteriado residuo, e ua operació aritética o durate su trasisió, este o se propague a los siguietes sio que queda cofiado a su posició origial. La seguda propiedad iplica que si se produce u error e u dígito este puede ser descartado si afectar el resultado, si se le ha agregado al sistea la redudacia ecesaria [KRI94]. [MAN7] propuso u étodo para la detecció de errores siples e los sisteas de trasisió de datos ediate el epleo de dos ódulos redudates, co posterioridad esta estrategia se ha extedido a la detecció de errores últiples. La idea cosiste e realizar ua codificació bidiesioal coo la siguiete: x 0 = b k 0 b j 0 b 0 b 0 p 0 0 x = b k b j b b p 0 : x i = b k i b j i b i b i p 0 i : x - = b k i b j i b i b i p 0 i x - = b k - b j - b - b - p 0 - c = p k c p j c p c p c p 0 c 49

62 Aritética de Residuos A cada residuo se le agrega u bit de paridad, adeás se agrega ua palabra adicioal. E esta el bit j-ésio es el bit de paridad de los bits j-ésios de cada residuo. Si ocurre u úico error e el bit j del residuo i, este se detectará e el bit de paridad del residuo i y e el j de la palabra de paridad. E el Sistea Nuérico de Residuos Redudate (RRNS) se geeraliza esta fora de codificació de aera que o quede liitado a la detecció de u error siple. Técicas de coversió Si bie el sistea uérico de residuos provee, sobre todo cuado se trabaja co eteros de gra agitud, u ecaiso altaete eficaz cuado se desea realizar operacioes etre ellos, u cuello de botella e el sistea puede ser la coversió de biario a RNS y viceversa. Por esta razó se ecuetra ua gra catidad de artículos abocados a ejorar, ya sea e velocidad o e ahorro de hardware, distitos algoritos de coversió, tal es así que e esta tesis se le dedica u capítulo especial. El pasaje de biario a RNS o preseta ayores dificultades, o ocurre lo iso e el setido iverso. Para este caso la ayoría de los algoritos se basa e el Teorea Chio del Residuo o CRT (Chiese Reaider Theore), que recibe este obre por haber sido forulado por Su Tsu e el libro Sua-Chig e siglo IV DC [BOG96]. Coversió de biario a residuos E el sistea biario atural u úero b se puede expresar coo u polioio de potecias de : b = b b + b + 0 b 0 dode los b i so los dígitos y 0. Toado ódulo e abos iebros se tiee: o lo que es idético: b = b b + b + 0 b 0 b = ( b )... ( b ) ( b ) ( 0 b 0 ) 9 La idea de esta ipleetació directa es teer alaceado e ua eoria las potecias de e ódulo y realizar la sua de estas, e ódulo, para aquellas posicioes co b i =. Por ejeplo, co = 7 la represetació RNS de a = 4 0 = 000 se obtiee segú lo ostrado e la Tabla. Para ejorar el algorito se trabaja co grupos de bits e lugar de toarlos idividualete. Si el úero etero x esta represetado e Ca co + bit [GAR95]: 9 Los parétesis so iecesarios y solo se icluye para dar ayor claridad a la expresió. 50

63 Aritética de Residuos + j= 0 x = b b j j co b el bit de sigo. El residuo x i = x i se calcula de la siguiete aera: ( ) xi b i i = + j= 0 b j j i i Se defie la fució F i (j) coo: F i ( j) j i = i j i j = 0,..., j = por lo que: i x = b j= 0 j F i ( j) e = 7 e = 7 e biario Dígitos de a Sua e = ( 3 ) = 0 Tabla Ejeplo de coversió de biario a RNS. i Teorea Chio del Residuo (CRT) De acuerdo co D. Wells [BOG96], e el siglo IV DC Su Tsu Sua-Chig propuso el siguiete problea:... Hay ciertas cosas cuyo úero es descoocido. Dividiédolas repetidaete por 3 el residuo es, por 5 el residuo es 3 y por 7 el resto es. Cuál es el úero?... Oystei Ore [BOG96] cita el siguiete acertijo de orige hidú:... Ua ujer va al ercado co ua cesta de huevos, que es pisada por u caballo. El jiete le ofrece pagar por el daño producido y le preguta cuatos huevos se ha roto. Ella o recuerda exactaete el úero de huevos que había e la cesta, pero cuado los ha retirado de la isa de a dos ha quedado e ella u huevo. Lo iso ocurrió cuado los fue retirado de a tres, cuatro, cico y seis, si ebargo, cuado los retiró de a siete o quedo iguo. Cuál es el eor úero de huevos que la ujer teía e la cesta?... 5

64 Aritética de Residuos Mateáticaete estos acertijos se puede euciar de la siguiete aera. Dado u cojuto de ódulos = {,,... } tal que cd( i, j ) = i j y.... = M el sistea de cogruecias lieales: x x (ód ) x x (ód ) x x 3 (ód 3 )... x x (ód ) tiee ua solució úica e ódulo M que está dada por el Teorea Chio de Residuo [GAR95]: x M = ω i x i i. ω = i i M M dode: ω i = y i ω el ultiplicativo iverso de i ω i e odulo i. Coo ejeplo se puede aplicar este teorea al acertijo de Su Tsu Sua-Chig, se tiee el siguiete sistea de ecuacioes cogruetes lieales: co M = 3 * 5 * 7 = 05 y x (ód 3) x 3 (ód 5) x (ód 7) i ω i - ω i = 3 ω = 35 ω - = = 5 ω = ω - = 3 = 7 ω 3 = 5 ω - 3 = de aera que aplicado el Teorea Chio del Residuo se tiee: x 05 = x 05 = = 8 05 = 3 Coversió de RNS a biario Coo se vio e el parágrafo aterior el Teorea Chio del Residuo provee u ecaiso directo, auque coplicado de ipleetar e hardware puesto que se requiere realizar operacioes co ódulo grade (M), para la coversió de u úero represetado e RNS a su correspodiete represetació decial. 5

65 Aritética de Residuos Puesto que la coversió RNS-biario es el cuello de botella que preseta los sisteas aritéticos basados e la represetació RNS existe e esta área u gra capo de ivestigació. Coo se verá e el capítulo correspodiete la ayoría de los algoritos de coversió se basa, de ua fora u otra e el CRT, auque trata de toar ciertas vetajas que preseta alguos cojutos de ódulos, por ejeplo ={,, + }. Sisteas de base últiple (Mixed Radix Syste, MRS) El sistea de base últiple preseta ua gra vetaja e la ipleetació del CRT puesto que o es ecesario cotar co suadores e ódulo M, sio que se realiza solaete toado residuos e ódulo i. Adeás es de gra iportacia e la aritética de residuos por dos características fudaetales: El sistea MRS es poderado, por lo que es secillo realizar coparacioes de agitud. La coversió de RNS a MRS, e ciertos casos, se puede realizar a gra velocidad. La represetació de u úero X e el sistea de bases últiples tiee la siguiete fora [BIZ97]: X = a r... a 3 r r a r i i = a dode r i so las raíces o bases, a i so los dígitos, que cuple co 0 a i < r i. Para u cojuto dado de bases la represetació MRS de u etero X se deota <a, a -,... a > co los dígitos ordeados de aera decreciete e sigificació y, adeás, esta represetació será úica detro del itervalo 0, r i = i. E el caso particular e que se hace coicidir u cojuto de ódulos,,... co el cojuto de bases r, r,... r tal que i = r i se dice que los dos sisteas está asociados y preseta el iso rago diáico M. E este caso la represetació de u etero X e base ixta se puede deotar: X = a i a 3 + a + a i = Los dígitos a i se puede deteriar desde el eos sigificativo, a, de la siguiete aera. Toado ódulo e la expresió aterior se obtiee el últio tério de la suatoria: X = a puesto que todos los deás so últiplos de y por lo tato su residuo será cero. Para obteer a priero se realiza la resta X a, que es divisible por co resto cero, por lo tato: 53

66 Aritética de Residuos a = X a Realizado este procediieto de aera iterativa se puede obteer el resto de los dígitos. La represetació ateática de este algorito es [JUL9]: ( ) = X Φ a = x Φ = Φ a a = Φ ( ) ( ) ( ) dode es el ultiplicativo iverso del ódulo - e ódulo. Ejeplo: deteriar la represetació MRS del úero 6 co = {3, 4, 5}. = 5, - 4 = = 4, - 5 = 3 = 3 Para a : ( ) Φ = X = 6 a = X 5 = 6 5 = para a se tiee: Φ ( ) ( ) ( ) ( 6 ) 5 = X a ( ) = a = Φ = 5 = = para a 3 : Φ ( ) ( ) 3 ( ) ( ) ( ) ( ) = ( 6) = 3 = Φ = X a a 3 a por lo tato 6 < 0, 3, >, e efecto: ( 3 ) a = Φ = = ( 3) 54

67 Aritética de Residuos 6 = a 3 + a + a = La iportacia del MRS radica e que se puede covertir fácilete u úero e RNS a su represetació poderada, bajo la cual es secillo realizar coparacioes de agitud y detecció de revasaieto. Tabié se lo eplea para covertir de RNS a biario, técica coocida coo MRC (Mixed Radix Covertio). Ejeplo [HAN0]: Para el RNS co = 3, = 4 y 3 = 5, covertir el úero X co residuos x =, x = 3 y x 3 = 4 a su represetació e el MRS. Se desea obteer etoces los a i de la ecuació: X = a 3 (3. 4) + a (3) + a para poder calcular la represetació decial X. Para el prier coeficiete se tiee: a = X = x = se realiza la resta X - a pero e el RNS: = 3 = 4 3 = 5 X a X - a 0 3 la diferecia X - a es divisible por co resto igual a cero, e lugar de dividir se realiza el producto co el ultiplicativo iverso, I j, de e odulo j : = 4 3 = 5 X - a 3 I j 3 X - a para a se tiee se resta a : a X a = = X - a = 4 3 = 5 - a a 0 4 uevaete se realiza el producto co el ultiplicativo iverso I j : 55

68 Aritética de Residuos X - a X - a 3 = 5 - a 4 I j 4 - a por lo que a 3 =. De aera que X se puede calcular coo: X = (3. 4) + (3) + = 9 Extesió de base E ocasioes es ecesario ecotrar la represetació RNS de u úero co = {,,... } e otra represetació RNS co u rago diáico ayor, es decir, co uo o ás ódulos extra, a este procediieto se lo llaa extesió de base [BIZ97]. Mediate este procediieto se pasa de u rago diáico 0, i a + 0, i y la i = i = represetació e el MRS tedrá el siguiete aspecto: X = a i a + + i a 3 + a + a i = i = De esta aera para cualquier úero copredido e el rago diáico origial a + será igual a cero. OTROS SISTEMAS NUMÉRICOS DE RESIDUOS Existe u gra úero de odificacioes al RNS origial, todas ellas pesadas para ejorar o cubrir alguos aspectos e el que el RNS o se puede aplicar, por ejeplo trabajar co úeros coplejos. Se describe alguas de las ipleetacioes ás usadas. Sistea uérico de residuos redudate (Redudat Residue Nuber Syste RRNS) [BIZ97] Si a u sistea RNS co u cojuto de ódulos se le agrega r ódulos adicioales se obtiee u sistea uérico de residuos redudate. Los + r ódulos debe ser relativaete prios toados de a pares y la represetació costará de + r dígitos, de los cuales será o redudates y el resto redudates. El rago diáico e RRNS, M T, será [0, M T ] co = + r M T i. Al itervalo [0, M ] se lo deoia rago legítio o i = correcto, e tato que al [M, M T ] se lo llaa ilegítio o icorrecto. Existe ua 56

69 Aritética de Residuos correspodecia uívoca etre los eteros copredidos e [0, M ] y los del cojuto del rago legítio. Para la detecció y correcció de revasaieto se usa de aera cojuta el MRS y el RRNS. El RRNS tabié se eplea para la detecció y correcció de errores e sisteas de couicació. Sistea uérico de residuos coplejo (Coplex Residue Nuber Syste CRNS) [BIZ97] [MEL93] [LEL89] Sea a y úeros eteros relativaete prios. Se dice que a es u residuo cuadrático ódulo si existe u etero x tal que se cuple: x = a ód Ejeplo: es u residuo cuadrático ódulo 7 puesto que existe el etero x = 4 tal que: 4 = ód 7 Si tal úero o existe se dice que a o es u residuo cuadrático ódulo. Hasta aquí la discusió se ha cetrado e eteros reales defiidos sobre u aillo A(), o si es prio, sobre capos C(p) co los eleetos M = { 0,..., }. E esta secció se defiirá y dará las propiedades fudaetales de la aritética e ódulo para trabajar co úeros coplejos. La creació de los úeros coplejos obedeció a que el polioio x = - o tiee solució posible detro del capo de los úeros reales. Para peritir ua solució a este tipo de probleas se itrodujo el úero j coo uidad de los úeros iagiarios, que es igual a la raíz cuadrada de -. De aera aáloga, e aritética Gaussiaa, es ecesario deteriar la solució de la ecuació: x = ód que puede o existir. Si existe se dice que la ecuació tiee solució, j A() y es u residuo cuadrático e ód. Por el cotrario, si la ecuació o tiee solució se dice que o es u residuo cuadrático e ód. Teorea: Si se está e el caso e que es u úero prio, es u residuo cuadrático si es de la fora p = 4 k + y será u residuo o cuadrático si es de la fora p = 4 k + 3. E el caso que o sea prio es suficiete co que sea u residuo cuadrático de todos los prios que divide a. Ejeplos: a) Fora p = 4 k +. Si k = 3 se tiee p = 3 prio co la solució de 57

70 Aritética de Residuos x = ód 3 igual a 8 puesto que, por la defiició de cogruecia ( (a b)), es: 3 ( 8 ( ) ) = 0 O, visto de otra aera, - 3 = y 8 3 =. Para este caso j tiee etoces u valor real e igual a 8. b) Fora p = 4 k + 3. Si k = se tiee p = prio si solució para puesto que x i {0,,... 0} la relació: x = ód es siepre 0. ( x i ( ) ) Para el caso e que = p = 4 k + 3 la ecuació x = ód o tiee solució e C(p) y j = C(p). E este caso se puede defiir ua estructura copleja e ódulo, co p eleetos, isoórfica a u capo de Galois de segudo orde, deotado C(p ), que estará copuesta por los pares ordeados (x r, x i ) x r + jx i co x r y x i C(p). Las operacioes de sua, resta y ultiplicació e este caso se defie coo: e dode: (x r, x i ) p (y r, y i ) = (u r, u i ) (x r, x i ) θ p (y r, y i ) = (v r, v i ) (x r, x i ) p (y r, y i ) = (z r, z i ) u r = x r p y r u i = x i p y i v r = x r θ p y r v i = x i θ p y i z r = x r y r p (- x i y i ) z i = x i y r p x r y i (5, 5) Ejeplo: (, 4) 7 (5, 3) = ( , ) = ( 3 7, 6 7 6) = Coo se puede apreciar las operacioes coplejas e ódulo so siilares a las realizadas e la aritética Euclidiaa. Para realizar la sua e ecesario realizar dos adicioes e ódulo e el capo real, e tato que para la ultiplicació, se ecesita calcular cuatro productos y dos suas e ódulo. Si o es u úero prio, se puede defiir u aillo coplejo e ódulo A( ) que se fora y trabaja de idética aera a la señalada e el caso aterior 58

71 Aritética de Residuos Si = p = 4 k + la ecuació x = ód tiee solució j A() y es u residuo cuadrático ód. Este caso coduce a la defiició de ua correspodecia de A( ) a u aillo cuadrático QA( ) que es isoórfico co A( ). Este caso se trata co ás detalle e el parágrafo siguiete. Sistea uérico de residuos cuadrático (Quadratic Residue Nuber Syste QRNS) [BIZ97] [MEL93] [LEL89] El sistea uérico de residuos cuadrático provee u ecaiso ediate el cual se puede trabajar co úeros coplejos procesado de aera idepediete la parte real de la iagiaria. Co este étodo se realiza ua correspodecia etre los datos reales e iagiarios co dos caales que se coputa sobre capos fiitos. Los aillos se costruye aplicado el teorea del parágrafo aterior. El QRNS se basa e el hecho que j = tiee solució real si se trabaja co deteriados tipos de ódulos, que so los que satisface la ecuació x = ód (o j = ód ), codició que se cuple si = 4 k +, co prio. Por ejeplo: e el aillo fiito defiido por los eteros (0,,, 3, 4), se puede teer la sua = o la resta θ 5 3 = - ód 5 = 4. Y puesto que j = - sigifica que trabajado e ódulo 5, ód 5 = 4 =. Por lo tato j tiee u valor real e este sistea. E la Tabla se uestra el cojuto de ódulos para los cuales - es u residuo cuadrático, co copredido etre 0 y 63. Módulo j -j Tabla Módulos, de hasta seis bits, apropiados para trabajar e el QRNS. De aera que u CRNS forado por ódulos para los cuales - es u residuo cuadrático se puede reducir a valores reales pues allí ± j lo so. Si se eplea solaete j se pierde iforació puesto que el úero coplejo queda reducido a u valor real. Por lo tato se debe covertir tabié el coplejo cojugado y realizar las isas operacioes sobre el. Para el caal i las ecuacioes de trasforació so: s (i + j q) ód i 59

72 Aritética de Residuos y d (i - j q) ód i i (s + d) ( i + ) / i q (d - s) j ( i + ) / i para la trasforació iversa. Estas ecuacioes de trasforació relacioa de aera isoórfica el par coplejo (s, d) defiido e el QRNS co el par coplejo (i, q) defiido e el CRNS. Ejeplo: Sea = 5 y el par (3 + j4) e el CRNS, calcular su equivalete e el QRNS. Valiédose de las ecuacioes de trasforació y de la Tabla se tiee: para volver a la represetació CRNS: s = = d = = 0 i = ( + 0) (5 + ) / 5 = 3 q = (0 ) (5 + ) / 5 = 4 La coversió etre CRNS y QRNS es secilla pues requiere solo suas y ultiplicacioes por costates. La sua, resta y ultiplicació de dos úeros coplejos z y w e el QRNS está dadas por: respectivaete. (s z, d z ) + (s w, d w ) = (s z + s w, d z + d w ) (s z, d z ) (s w, d w ) = (s z s w, d z d w ) (s z, d z ). (s w, d w ) = (s z. s w, d z. d w ) Ejeplo: Sea = 5 y los úeros coplejos z = (3 + j4) y w = ( j3) e el CRNS, hallar la sua, resta y producto de ellos, priero e el CNRS y luego epleado el QRNS. E el CNRS se tiee: z + w 5 = (3 + j4) + ( j3) 5 = (4 + j) 5 z w 5 = (3 + j4) ( j3) 5 = ( + j7) 5 = ( + j) 5 z. w 5 = (3 + j4). ( j3) 5 = (3 j9 + j4 j ) 5 = (5 j5) 5 = (0 j0) 5 Para trabajar e el QRNS se debe ecotrar priero la represetació de z y w e este sistea: s z = = ; d z = = 0 s w =. 3 5 = 0; d w = = 60

73 Aritética de Residuos z + w 5 CRNS QRNR (s z, d z ) + (s w, d w ) = ( + 0, 0 + ) = (, ) = = QRNR CRNS ( ( + ) (5 + ) / 5, ( ) (5 + ) / 5 ) = (4 + j ) z w 5 CRNS QRNR (s z, d z ) (s w, d w ) = ( 0, 0 ) = (, ) = (, 3) = QRNR CRNS ( ( + 3) (5 + ) / 5, (3 ) (5 + ) / 5 ) = ( + j ) z. w 5 CRNS QRNR (s z, d z ). (s w, d w ) = (. 0, 0. ) = (0, 0) = QRNR CRNS ( (0 + 0) (5 + ) / 5, (0 0) (5 + ) / 5 ) = (0 + j 0) Las vetajas del QRNS sobre el CRNS so evidetes. E el QRNS, para cada caal, parte real e iagiaria se coputa de aera idepediete. Para la resta y la sua el úero de operacioes a realizar e abos sisteas es la isa. Pero para el producto se ecesita 4 ultiplicacioes y dos suas e el CRNS, e tato que e el QRNS, se ecesita realizar sólo dos productos. La desvetaja e el CRNS co respecto al QRNS es que hay eos libertad al oeto de elegir el cojuto de ódulos co los cuales trabajar. Sistea uérico de residuos oe-hot (ORNS) E [CHR98] se preseta el Oe-hot RNS o ORNS. La idea es secilla, toar vetaja, ver Tabla y Tabla 3, de que la sua y la resta e ódulo se puede realizar ediate rotacioes. La técica cosiste e ecotrar ua codificació que sea apropiada para trabajar de esta aera. La represetació ORNS de u deteriado úero es secilla. Para u dado ódulo cada operado se represeta ediate = bits y de aera tal que se tiee u sólo e la posició del valor que se quiere represetar. Por ejeplo: si = 7 y se quiere represetar los eteros 6 7, 4 7, 0 7, se tiee; 6 7 = ORNS, 4 7 = ORNS, 0 7 = ORNS. Esto sigifica que realizar ua operació e el ORNS es equivalete a cabiar el valor de a lo suo dos líeas, co la cosecuete actividad íia del circuito y ahorro de eergía. La operació de sua ediate codificació ORNS cosiste etoces e rotar el prier operado u úero de veces igual al valor del segudo. Esta operació se puede realizar ediate u desplazador de toel (barrel shifter), coo se puede apreciar e la Figura 34 La idexació y desidexació (ver CAMPOS DE GALOIS ), para la ultiplicació, o ecesita hardware, se realiza ediate la perutació de las líeas de los buses de cada caal. Co lo que el hardware epleado para ua operació de ultiplicació es el iso que el ecesario para realizar ua sua. Lo iso ocurre co el cálculo del ultiplicativo iverso. Más aú, la coversió de ódulo, es decir represetar u residuo e otro ódulo, cosiste e el agregado de copuertas OR. Fialete, otras dos características iportates del OHR, so las siguietes: si se desea suar o ultiplicar por u valor costate tapoco se debe agregar hardware, sipleete se peruta las señales del caal. E [CHR98] se uestra el producto retardo x cosuo para suadores y ultiplicadores e ORNS cotrastados co aritética biaria. El trabajo se realizó ediate siulació Spice versió 3f4 LEVEL, para tecología de, µ y co trasistores de taaño íio. Para los circuitos aritéticos biarios se eligió el ripple-carry adder debido a su eor cosuo etre distitas alterativas, e tato que, para la ultiplicació, se toó la 6

74 Aritética de Residuos arquitectura e árbol de Wallace. La coparació deteria que la reducció e la cifra de érito retardo. cosuo va desde u 35% para la sua a u 95% para la ultiplicació co respecto a la aritética biaria. Figura 34 Circuito suador para = 4 y ejeplo co a y b iguales a. 6

75 Aritética de Residuos El problea que preseta la ipleetació de suadores y ultiplicadores ediate el algorito de rotació es que el úero de trasistores crece co, dificultad que se acetúa a edida que crece. Sistea uérico de residuos oe-hot propuesto (ORNS ) E [GAO03][GAO03b] se propoe ua variate, deoiada ORNS, secilla pero eficaz para reducir el úero de trasistores cuado es grade. La idea es costruir u desplazador de toel de x / trasistores e lugar de x. Luego se ve e el ultiplicador si el, cuya posició idica el úero de desplazaietosrotacioes que se debe realizar, está e la parte ás sigificativa o e la eos sigificativa. Si el está e la parte eos sigificativa el desplazador de toel fucioa coo e el caso de la Figura 34, pero si está e la itad ás sigificativa se produce u desplazaieto aticipado de / posicioes. E la Figura 35 se uestra u ejeplo para = 4. Se debe otar que este ejeplo es sólo a título ilustrativo, pues la reducció e el úero de trasistores se aprecia a edida que el ódulo crece. E detalle. Sea los úeros a suar a = {a 3, a, a, a 0 } y b = {b 3, b, b, b 0 }. La copuerta NOR detecta si b o b 0 es igual a, e caso que así sea se tiee u e el odo x, co lo que la iforació de las rotacioes a realizar, coteidas e los bits b, b 0, pasa a las filas. Siultáeaete se activa los trasistores NMOS verticales que deja al úero a si odificar. E caso cotrario las filas recibe la iforació de los bits b 3, b y se produce ua rotació-desplazaieto de posicioes ediate las señales x e y, previa a la que se realiza por el desplazador de toel. Figura 35 ORNS para = 4. U aálisis cuatitativo del úero de trasistores ecesarios para costruir el circuito propuesto es el siguiete. Se ecesita x / trasistores para el úcleo, x para el desplazaieto-rotació aticipado, x ( / ) para la copuerta NOR, para el iversor y ( / ) x para las filas. Mateáticaete: N T =

76 Aritética de Residuos E la Figura 36 se ilustra el úero de trasistores requeridos para cada uo de los esqueas, ORNS y ORNS. E tato que, e la Figura 37, el ahorro de área co el étodo propuesto Núero de trasistores ORNS Método propuesto ORNS Módulo Figura 36 Núero de trasistores requeridos para realizar suadores e ódulo segú ORNS y ORNS Ahorro de área Módulo Figura 37 Ahorro de área del étodo propuesto (ORNS ) co respecto al ORNS e fució del ódulo. El esquea propuesto se puede exteder de la siguiete fora (ORNS 3 ). E lugar de detectar si el ocurre e la parte ás o eos sigificativa del segudo operado, éste se puede dividir e tres partes y aplicar el iso procediieto idicado precedeteete. E 64

77 Aritética de Residuos este caso se ecesita copuertas NOR para detectar e que tercio se ecuetra el. La cueta de trasistores es: x / 3 trasistores para el úcleo, 3 x para el desplazaietorotació aticipado, x x ( / 3) para las dos copuertas NOR, 4 para dos iversores, 4 para ua copuerta NOR de etradas, que idica si el está e el tercio ás sigificativo, y ( / 3) x 3 para las filas. Esto es: N T = área. A edida que crece se puede subdividir aú ás, co el cosiguiete ahorro de CAMPOS DE GALOIS Uo de los étodos que se utiliza para realizar productos e el sistea uérico de residuos covierte las ultiplicacioes, ediate ua idexació apropiada, e suas e ódulo. Este procediieto tiee su fudaeto ateático e la teoría de capos desarrollada por el ateático fracés Evariste Galois (8 83) 0. Para defiir los capos de Galois se ecesita dos coceptos de teoría de úeros, la fució de Euler y la raíz priitiva. Si es u etero positivo la fució de Euler, φ( ), se defie coo el úero de eteros positivos eores que y relativaete prios co él, ver Tabla 3. φ( ) φ( ) φ( ) φ( ) φ( ) φ( ) φ( ) Tabla 3 Fució de Euler φ( ) para los prieros 63 úeros. De aera geeral tiee a g, coo raíz priitiva si: pero se debe cuplir g φ( ) = 0 Nació e u suburbio de París y recibió su educació e su hogar. Fue rechazado dos veces su adisió e la Ecole Polytechique, la pricipal escuela de eseñaza de ateáticas de Fracia. Tabié evió tres trabajos a la Acadeia de Ciecias pero se perdiero o fuero rechazados por cosiderárselos icopresibles. Poco ates de su uerte, a la edad de años, escribió alguas de sus teorías algebraicas que fuero publicadas e 846 y 870 oeto a partir del cual fue cosiderado coo uo de los gigates de las ateáticas. Alguos de sus coceptos, tales coo grupos de Galois, capos de Galois y teoría de Galois, so fudaetales e el álgebra odera. 65

78 Aritética de Residuos g k para todos los eteros positivos k < φ( ). Adeás g satisface la codició: g - U etero puede teer ás de ua raíz priitiva, e la Tabla 4 se ilustra este caso para los prieros úeros que perteece a este cojuto, e tato que, e la Tabla 5 los prieros úeros que tiee raíz priitiva. g g 7 3, 5 3 9, , 7 5, 3, 6, 7, , 6, 7, Tabla 4 Prieros eteros co sus raíces priitivas Tabla 5 Prieros úeros que tiee raíz priitiva. U úero a tiee raíces priitivas si es de la fora, 4, ua potecia de p α, o p α, dode p es u úero prio ipar y α. Esto sigifica que todos los úeros prios tiee al eos ua raíz priitiva. Si tiee raíz priitiva, etoces tiee exactaete φ( φ( ) ) raíces priitivas [NEU00] [WOL0]. E la Tabla 6 se da los valores de φ( φ( ) ) para los prieros eteros positivos Tabla 6 Núero de raíces priitivas de los prieros eteros. E la Tabla 7 se uestra las eores raíces priitivas para alguos de los prieros eteros (se oite cuado o tiee raíz priitiva). El cojuto de todos los úeros prios ipares está forado por todos los úeros prios salvo el. 66

79 Aritética de Residuos g g g g Tabla 7 Meores raíces priitivas de los prieros 9 úeros que la tiee. Los capos de Galois [RAD9][YAU76][JUL80] puede ser de dos tipos, prios y polioiales, deotados respectivaete G( p ) y G( p q ), dode p es u úero prio y q u etero positivo. Si p es u úero prio tiee raíz priitiva y adeás se cuple que las clases de residuos e ódulo p; g, g, g 3, g 4,... g p so todos distitos, es decir g ód p tiee orde p. Los capos de Galois prios tiee la propiedad de que todos sus eleetos, salvo el cero, se puede geerar a partir de su raíz priitiva ediate la siguiete ecuació: q = p g i p Ecuació Fució de relació etre el grupo ultiplicativo q y el aditivo i. Ejeplo: si p = 9 ediate g = se puede establecer ua relació etre el capo G(p ) = {,,... 8}, y el cojuto ídice i = {0,,,... 7} dada por la Ecuació, co lo que se puede establecer la siguiete tabla de equivalecias: i q Tabla 8 Isoorfiso etre el grupo ultiplicativo q y el aditivo i. Coo se vio u úero puede teer ás de ua raíz priitiva, ás aú, u etero a puede teer raíces priitivas si ser prio [WEI00], pero e este caso o puede forar u capo de Galois. 67

80 Aritética de Residuos Por la fora e que se geera los capos prios de Galois se desprede que cuado se desea realizar u producto etre dos eleetos de G( p ) e ódulo p la operació se reduce a la sua de sus respectivos ídices e ódulo p puesto que: q j q k p = g i j ik p p Ejeplo, p = 9 será G( 9 ) = {0,,,... 8}, el producto 4 por e ódulo 9 que es: 4. 9 = 9 se puede calcular buscado e la Tabla 8 los ídices correspodietes a 4 y, que so 7 y, suarlos e ódulo 8: = 8, y buscado el etero que le correspode al ídice que es. Coo se desprede de lo aterior la iportacia de esta técica es que los productos se covierte e suas e ódulo y operacioes siples de búsqueda. Fialete, por su epleo e capítulos posteriores, por ejeplo ultiplicació e RNS ediate el étodo de idexació explicado, se lista e la siguiete tabla los prieros cie úeros prios Tabla 9 Prieros cie úeros prios. APLICACIONES DEL SISTEMA NUMÉRICO DE RESIDUOS Para ejeplificar el epleo del RNS y sus derivados se verá e detalle tres aplicacioes, sítesis de filtros FIR, trasforadas uéricas teóricas y geeradores de úeros cuasicaóticos. E la bibliografía se ha ecotrado, adeás de las aplicacioes señaladas, el epleo del RNS e: criptografía pública e el sistea RSA [BAJ04][CIE03][SCH94], protecció itelectual de ódulos IP [CAS06][CAS05], operacioes co curvas elípticas para criptografía [SCN94][SCH06][SCH06a], codificació caótica [WEI05], trasforada discreta coseo [FER99][FER00][FER00b] [FER0][FER0] 68

81 Aritética de Residuos [FER03][YON04], trasforada odita discreta [RAM00][RAM00a][RAM00b][RAM0] [RAM0a][GAR05], estiació espectral ediate el étodo de Wiger-Ville [WIL9], trasforada discreta de Hartley [DIM99], codificació de iágees [LAM99], sitetizador digital de frecuecias [CHR95][MOH0], DSP e RNS y circuitos tolerates 3 a fallas etre otras aplicacioes. Filtros de respuesta fiita a la fució ipulso (FIR) Existe ua aplia bibliografía sobre la aplicació del RNS e la ipleetació de filtros FIR e IIR. E [CAR00] se uestra coo el epleo del RNS reduce el cosuo de potecia co respecto a su cotraparte e Ca. E [BAR8] se estudia el error de cuatizació al oeto de costruir u filtro FIR e RNS. E [CAR9] se preseta ua ipleetació de u filtro IIR e aritética de residuos costruido e VLSI. E [CAR97a] se copara distitas arquitecturas para la costrucció de u filtro FIR, por ejeplo la directa y la iversa, co la coclusió de que e RNS esta últia es la ejor. E [CHI94] para la ipleetació de los filtros FIR, adeás del epleo del RNS, se propoe el uso de la aritética serie e cada caal. [GAR98b] desarrolla la ipleetació de u filtro Hogeauer CIC e FPGA y co RNS. E [GAR99a] se preseta el epleo cojuto de la aritética distribuida y el RNS para la costrucció de filtros FIR. [HUI9] preseta ua estrategia para la cobiació de aritética e puto fijo y RNS para el diseño de filtros FIR. E [JEN93] se preseta el uso del RRNS para el diseño de filtros adaptativos tolerates a fallas basados e el algorito LMS 4. E [KRI88] y [KRI9] se eplea los sisteas QRNS y el MQRNS (QRNS odificado) para el diseño de filtros coplejos. Y e [PAL97] se estudia la perforace área-retardo e la realizació VLSI de filtros FIR e RNS. Se explicará e detalle el trabajo presetado e [RAM0] porque, adeás de ser uo de los trabajos ás recietes basado e las ejores estrategias de diseño presetadas co aterioridad, se eplea dispositivos lógicos prograables para la ipleetació de los circuitos y se eligió ua FPGA de Altera. El sistea costa de tres etapas: a) u circuito de coversió de Ca a RNS, b) caales, e la fora iversa, co ultiplicació e RNS ediate idexació y c) el circuito de coversió de RNS a Ca. La Figura 38 ilustra uo de los caales, cada uo tiee l etapas, ua tabla de idexació, si el caal trabaja e ódulo p, l suadores e ódulo p, l tablas de desidexació, l suadores biarios y, fialete, u coversor a ódulo p. Para la coversió de RNS a Ca se eplearo dos estrategias, la aplicació directa del Teorea Chio de Residuo (CRT) y la, ás eficiete, Teorea Chio del Residuo Escalado (ε-crt) (ver CAPÍTULO. TÉCNICAS DE CONVERSIÓN BINARIO-RNS-BINARIO ). Los circuitos se ipleetaro e ua APEX0K. E la Tabla 0 se uestra los resultados, de los circuitos e Ca y e RNS, para distitos úeros de etapas y ragos diáicos. Para los circuitos e Ca los ultiplicadores se segetaro e cico etapas. Los dos odelos se describiero e VHDL de aera paraétrica y si el epleo de eoria. La coplejidad es aproxiadaete la isa pero la frecuecia de fucioaieto crece hasta u 66% e la realizació RNS. Más de 0 citas, ver bibliografía. 3 Más de 0 citas, ver bibliografía. 4 El algorito LMS (del iglés, Least-Mea-Square algorith) se usa e filtros adaptativos para ecotrar los coeficietes del filtro que perite obteer el valor esperado íio del cuadrado de la señal de error, defiida coo la diferecia etre la señal deseada y la señal producida a la salida del filtro. 69

82 Aritética de Residuos Figura 38 Estructura iversa de u filtro FIR para uo de los caales e el RNS. l M Ca F LEs [MHz] LEs Reducció e % RNS CRT/ε-CRT F Icreeto [MHz] e % , 3, 7, 9, 3, 9, 3, 3, 3, 7, 9, 3, 9, 3, 64 7,, 3, 7, 9, 3, 9, 3, 3 7,, 3, 7, 9, 3, 9, 3, 3 Tabla 0 Icreeto e la frecuecia de fucioaieto y reducció de recursos de filtros FIR e RNS co respecto a su ipleetació e Ca. Los resultados so aú ejores e la ipleetació de filtros coplejos. El sistea está copuesto de: a) dos coversores de Ca al QRNS, b) pares de caales que trabaja e el QRNS y c) dos coversores de QRNS a Ca 5. Para la sítesis de los circuitos e Ca se eplearo dos efoques e el diseño. El producto de dos úeros coplejos a = x + j y y b = c + j s, que tiee coo resultado R + j I = (x + j y) (c + j s) se puede realizar de dos aeras, co cuatro o co tres ultiplicadores. Co cuatro ultiplicadores: R = x. c y. s I = j ( y. c + x. s) O ediate tres ultiplicadores si previaete se coputa z = c. (x y), etoces: R = (c s). y + z I = (c + s). x z 5 E el artículo o se aclara si se eplea el CRT o el ε-crt. 70

83 Aritética de Residuos El circuito ipleetado e QRNS requiere solo dos ultiplicadores e ódulo por etapa. E la Tabla se uestra los resultados. Ca 4/3 l ultiplicadores por coeficiete LEs LEs F [MHz] Reducció e % F [MHz] 40,38 34,80 5,7 RNS Icreeto e % , 3, 7, 9, 37, 4, 53, 63 5, 3, 7, 9, 37, 4, 53, 6 5, 3, 7, 9, 37, 4, 53, , 3, 7, 9, 37, 4, 53, 6 Tabla Icreeto e la frecuecia de fucioaieto y reducció de recursos de filtros FIR coplejos e RNS co respecto a su ipleetació e Ca. Trasforada discreta de Fourier (DFT) y trasforadas uéricas teóricas (NTT) La trasforada discreta de Fourier (DFT) trasfora la serie de úeros coplejos x 0, x - e la serie de putos y 0, y - segú la fórula: y t = k = 0 x k e π j t k Ecuació 3 Trasforada discreta de Fourier. Y la trasforada discreta de Fourier iversa ediate: x k = t = 0 y t e π j Ecuació 4 Trasforada discreta iversa de Fourier. t k E el RNS la DFT para ua secuecia de úeros se defie, para el caal l e ódulo p, coo [BAY86]: yt = k = 0 x k e π j t k p Ecuació 5 Trasforada discreta de Fourier para el caal l e RNS. Co k = 0, y x k e y t so los residuos del l-ésio caal de las señales de etrada y salida. Si se realiza la sustitució: 7

84 Aritética de Residuos w ξ = e π j la Ecuació 5 se trasfora e: yt = k= 0 x k ξ ( w ) t k p Ecuació 6 Trasforada discreta de Fourier e RNS para u caal geérico. La idea clave cosiste e ecotrar algú tipo de relació para la cual w t = p. Se cuple por el pequeño teorea de Ferat: a p - p = p Si adeás p es u úero prio tiee raíz priitiva g, y si es de la fora p = ξ. t + se tiee p = ξ. t, co ξ cualquier etero positivo. Etoces se hace: co lo que: w = g ξ p etoces w t = p pero w p si < t. w t = g ξ t = g p = p A diferecia de, por ejeplo, la trasforada de Fourier la trasforada uérica teórica o tiee sigificació física, coo la trasforada de Fourier trasfora de tiepo a frecuecia. Aquí se trata de realizar las operacioes, por ejeplo covolució, de aera ás eficiete. Adeás dado que todos los úeros ivolucrados e la trasforació so eteros la trasforada es exacta. La trasforada iversa e RNS: ( w ) p h t xh ξ = yt t = 0 p Ecuació 7 Trasforada discreta iversa de Fourier e RNS para u caal geérico. co h = 0,. Por ejeplo [JUL9]: calcular la covolució para x = {,, 3, 4, 0, 0, 0, 0} co = 8. Si se elige uo de los caales co p = 7, de la Tabla 7 se tiee g = w = 3 y de 7 = ξ. 8 + sale ξ =. Co lo que la trasforada para este caal tiee la fora: y = 7 t x k k = 0 9 t k 7 y la iversa: 7

85 Aritética de Residuos x = 7 5 h y t t= 0 9 h t 7 La covolució de la serie se puede calcular ediate: c t = 7 k = 0 x k x t k que da por resultado c = {, 4, 0, 0, 5, 4, 6, 0}. Ahora bie coo la covolució e el tiepo es igual al producto de sus trasforadas se puede calcular la trasforada uérica teórica para cada caal, realizar la ultiplicació y atitrasforar. Usado otació atricial: y = T. x e dode T es la atriz de trasforació cuyos eleetos está dados por 9 k 7. El cálculo de la trasforació para el caal e que se está trabajado, p = 7, se realiza ediate: = El producto e el capo trasforado: La trasforada iversa: =

86 Aritética de Residuos 74 = Que coicide co la serie c para el caal co p = 7. Si se realizara la isa operació ediate el procediieto clásico, es decir co la co la DFT, e la que se trabaja co úeros coplejos, se tiee para la trasforada: = 7,4 0,4,00,00,4,4,00,4,4,00,00 7,4 0,4 4,00 3,00,00,00 0,7 0,7,00 0,7 0,7,00 0,7 0,7,00 0,7 0,7,00,00,00,00,00,00,00,00,00 0,7 0,7,00 0,7 0,7,00 0,7 0,7,00 0,7 0,7,00,00,00,00,00,00,00,00,00 0,7 0,7,00 0,7 0,7,00 0,7 0,7,00 0,7 0,7,00,00,00,00,00,00,00,00,00 0,7 0,7,00 0,7 0,7,00 0,7 0,7,00 0,7 0,7,00,00,00,00,00,00,00,00,00 El producto e el capo trasforado: = 7,4 0,4,00,00,4,4,00,4,4,00,00 7,4 0,4 7,4 0,4,00,00,4,4,00,4,4,00,00 7,4 0,4 6,00 5,8 8,00 6,00 4,8 4,00 6,00 4,8 8,00 6,00 5, La trasforada iversa: = 6,00 4,00 5,00 4,00,00

87 Aritética de Residuos 8,00,00,00,00,00,00,00,00,00 0,7 0,7,00 0,7 0,7 0,7,00 0,7 0,7,00 0,7,00,00,00,00,00,00,00,00,00 0,7 0,7,00 0,7 0,7 0,7,00 0,7 0,7,00 0,7,00,00,00,00,00,00,00,00,00 0,7 0,7,00 0,7 0,7 0,7,00 0,7 0,7,00 0,7,00,00,00,00,00,00,00,00,00 0,7 0,7,00 0,7 0,7 0,7,00 0,7 0,7,00 0, ,8 4,8 4,00 4,8 5,8 6,00 8,00 6,00 6,00 8,00 6,00 Los úeros e las atrices se uestra co dos deciales, si ebargo los cálculos se realizaro co gra precisió por lo que o se ota el error itroducido al redodear los coeficietes de la atriz T y e la divisió fial. Geeradores RNS cuasicaóticos E [PAN00] [PAN0] se propoe u esquea forado por ua cascada se filtros de prier orde, cuyos polos coicide co la raíz priitiva de prier orde del odulo correspodiete a esa etapa. Este esquea se uestra e la Figura 39. El circuito está copuesto por etapas e cascada, e cada uo de ellos los cóputos se realiza sobre u ódulo prio. Así, por ejeplo, la salida y para la k-ésia uestra, co k se puede calcular coo: y k x + u k = g. xk x + x k = g. xk = x k = g.... x k k k + x k dode u k es la etrada al sistea y x k i co i =, es la salida de la i-ésia secció. Aú co etrada ula el geerador se coporta coo u geerador cuasicaótico. E efecto, dadas las codicioes iiciales x 0 i, la salida es periódica co u periodo igual al íio coú últiplo de los ( i ). Por ejeplo: co = 8 y = {57, 63, 347, 359, 383, 467, 479, 503}, el periodo es igual a ,7 x 0 8. La fora de la señal geerada o depede de los valores iiciales, si estos cabia se obtiee la isa secuecia pero desplazada. Por el cotrario si se cabia las raíces priitivas se geera ua secuecia distita. Por lo tato, coo se vio e CAMPOS DE GALOIS, cada i tiee φ( φ( i ) ) raíces priitivas, siedo prio, de aera que el úero total de secuecias distitas es para el ejeplo dado: F = i= φ ( φ( )) i F = ,3 x

88 Aritética de Residuos Co este esquea se puede costruir geeradores cusicaóticos co periodos uy largos y cada uo de ellos co u gra úero de secuecias distitas. Figura 39 Geerador RNS cuasicaótico. 76

89 Técicas de coversió biario-rns-biario Técicas de coversió biario-rns-biario Hay alguas cosas sobre las cuales o cooceos el úero. Si se las cueta de a tres el resto es. Si se las cueta de a cico el resto es 3. Si se las cueta de a 7 el resto es. Cuál es el úero? La respuesta es 3. El cálculo se realiza así. Cotado de a tres, el resto es, por lo que el úero puede ser 40. Cotado de a cico, el resto es 3, por lo que el úero puede ser 63. Cotado de a siete, el resto es, por lo que el úero puede ser 30. Suado estos úeros (33) y restado 0 se puede obteer la respuesta iediataete. Se puede dar otro ejeplo. Cotado de a tres, el resto es, por lo que el úero puede ser 70. Cotado de a cico, el resto es, por lo que el úero puede ser. Cotado de a siete, el resto es, por lo que el úero puede ser 5. [JUL9] 77

90 Técicas de coversió biario-rns-biario CAPÍTULO. TÉCNICAS DE CONVERSIÓN BINARIO-RNS- BINARIO E este capítulo se describe las pricipales técicas de coversió de biario a RNS y de RNS a biario. E el RNS el segudo tipo de coversió es la tarea ás coplicada y que e alguas aplicacioes puede ser la causa por la cual o se la pueda eplear. Tal es la iportacia de este puto que casi la itad de la bibliografía ivestigada trata sobre el estudio de este tea. El capítulo se divide e tres partes. E la priera se preseta las pricipales técicas de coversió del sistea biario al RNS, e la seguda la coversió iversa, e tato que, e la tercera, se ipleeta circuitalete las estrategias que preseta las ejores características para su realizació e lógica prograable. Para la realizació circuital, de la isa aera que e el CAPÍTULO 3. OPERACIONES ARITMÉTICAS MEDIANTE RESIDUOS, se eplea dispositivos lógicos prograables de Altera. CONVERSIÓN DE BINARIO A RNS Existe dos caios pricipales para la coversió de biario al RNS. La priera se basa e el epleo de tablas de búsqueda e tato que, la seguda, realiza la trasforació ediate el epleo de circuitos eteraete cobiacioales. Los algoritos de coversió basados e tablas de búsqueda cosiste e la aplicació directa de la técica explicada e Coversió de biario a residuos. La idea es teer alaceados los residuos de e ua tabla de búsqueda cuyo coteido es direccioado por los úeros cuyos residuos se quiere deteriar. Si se ipleeta este criterio de fora directa el taaño de la eoria ROM requerida es deasiado grade. Por lo que existe distitas alterativas para reducir el taaño de las tablas de búsqueda requeridas. 78

91 Técicas de coversió biario-rns-biario Coversor biario a RNS secuecial ediate tablas de búsqueda Ua de las estrategias para la coversió de biario a RNS ediate tablas de búsqueda es el coversor secuecial serie que se uestra e la Figura 40 [OMO07]. Se trata de calcular X, siedo X u úero etero de bits. Al iicio el registro se poe e 0, e cada ciclo, e total, el cotador va direccioado el valor j para el bit j del úero a covertir. La etapa de salida está copuesta por u suador e ódulo 6 y u registro que va acuulado los resultados parciales y el fial. Se trata de u diseño de bajo costo pero co u tiepo de coversió elevado. La velocidad de coversió se puede auetar trabajado co varios circuitos siilares trabajado e paralelo. E efecto para auetar el throughput e [OMO07] se propoe dividir el úero X, de bits, e t = l bloques de l bits cada uo. E cada ciclo de reloj se covierte l bits. E la Figura 4 se uestra el esquea para l =. Figura 40 Coversor secuecial serie biario a RNS. 6 Las distitas alterativas para realizar la sua e ódulo se explica e el capítulo siguiete. 79

92 Técicas de coversió biario-rns-biario Figura 4 Coversor secuecial paralelo de biario a RNS. Coversor biario a RNS e paralelo ediate tablas de búsqueda Ahora bie, el objetivo pricipal de la aritética e RNS es axiizar la velocidad de cálculo, e geeral este icreeto e el throughput va acopañado por u ayor costo e hardware. De aera que e lugar de realizar la coversió, coo e los étodos precedetes, de aera secuecial, la idea es realizarla totalete e paralelo. 80

93 Técicas de coversió biario-rns-biario Etoces el úero a covertir X, de bits, a ódulo de h bits, se divide e t = l bloques de l bits cada uo. Cada bloque de l bits direccioa e ua eoria ROM el residuo correspodiete a la direcció presetada, luego u árbol de suadores realiza la sua de los t residuos. Aquí hay dos variates, la priera cosiste e utilizar suadores biarios y realizar ua coversió fial e tato que, e la seguda, se eplea suadores e ódulo de aera que a la salida del últio suador se obtiee el residuo de X e ódulo. Ver la Figura 4 y la Figura 43. Naturalete la velocidad de coversió se puede icreetar ediate segetació. Figura 4 Coversor biario a RNS ediate tablas de búsqueda y suadores biarios. 8

94 Técicas de coversió biario-rns-biario Figura 43 Coversor biario a RNS ediate tablas de búsqueda y suadores e ódulo. Coversor biario a RNS basado e la periodicidad de los residuos Coo se vio para realizar la coversió de biario al RNS se debe calcular el peso correspodiete a cada bit. Por ejeplo, para el bit j de X e el caal co ódulo i será j i. Si ebargo puede o ser ecesario el cálculo de j i para cada posició, pues los residuos calculados para cada ua de ellas se repite luego de u cierto úero de bits l. E efecto los residuos de j tiee las siguietes propiedades [MOH94] [PRE06]: Para ciertos ódulos ipares se cuple l =. Por ejeplo, si = 5 los residuos de j para j = 0,,, 3, so:,, 4, 3,,, 4, 3, El período l = 4. Los ódulos ipares que o cuple la codició aterior tiee u período l < -. Si es par existe u trasitorio luego del cual los residuos de j so periódicos. Si el ódulo es ua potecia de, luego de u trasitorio, el periodo es igual a 0, e este caso el log bits eos sigificativos. residuo es precisaete el trasitorio, es decir los ( ) Ejeplo: = 9. Los residuos de j 9 sigue la secuecia:,, 4, 8, 7, 5,,, co lo que el período es l = 6 < 9 = 8. 8

95 Técicas de coversió biario-rns-biario Ejeplo: = 0. Los residuos sigue la serie,, 4, 8, 6,, 4, 8, 6, Se tiee u trasitorio igual a prier residuo,, luego del cual la serie se vuelve periódica co l = 4. E la Tabla se idica el periodo para ódulos ipares, e tato que e la Tabla 3 se da la isa iforació para ódulos pares idicado el trasitorio iicial. l l l l l l l l Tabla Período l para ódulos ipares de 3 a 7 [MOH94]. l l L l l l l l Tabla 3 Período l para ódulos pares de 6 a 6 [MOH94]. Debido al hecho de que j, j+l, j+l, tiee el iso residuo el úero a covertir se puede descopoer e palabras de l bits cada ua, de la siguiete aera: (x tl-), x (t-)l ),... (x 3l-, x l ), (x l- x l ), (x l-, x 0 ). El resultado de esta propiedad es que e lugar de covertir a RNS u úero X de bits se lo divide e t = l palabras de l bits cada ua. Priero se realiza la sua de las t palabras de l bits, si hay acarreo este se sua al resultado, que será u úero de l bits. Por últio al úero obteido se le aplica cualquier étodo para la coversió de RNS a biario co la siplificació e hardware que trae aparejado trabajar co l bits e lugar de. Ejeplo: hallar el residuo del úero biario de 3 bits e ódulo 89. De la Tabla l = por lo que se debe realizar la sua:

96 Técicas de coversió biario-rns-biario El coversor biario a RNS calcula etoces el residuo = =. El esquea de cálculo se uestra e la Figura 44. Si se desea auetar la velocidad de trabajo se debe segetar cada ivel del coversor ediate el agregado de registros. Es evidete que se debe realizar ua elecció del cojuto de ódulos uy cuidadosa co el objeto de seleccioar aquellos que tiee u período pequeño. Es decir que existe ua liitació uy iportate al oeto de seleccioar. Figura 44 Coversor biario a RNS basado e la periodicidad de los residuos. 84

97 Técicas de coversió biario-rns-biario 85 Coversores biario a RNS basados e la expoeciació e ódulo E [PRE0] se preseta u coversor biario a RNS, basado e la expoeciació e ódulo. E el cotexto de este capítulo la expoeciació e ódulo se refiere al cálculo de N. La expresió N, co N u úero etero de bits, se puede expresar co su expoete e el sistea de ueració biario: b b b b N = o co el expoete represetado coo u polioio de potecias de su base: b b b b b b b N k k k k k k = odificado los prieros k térios: ( ) { } ( ) { } ( ) { } ( ) { } b b b k k N k k k k b b b b = + Ecuació 8 haciedo: i i r = co i =,, k que reeplazado e los k prieros térios de la Ecuació 8 da: ( ) ( ) ( ) ( ) b b b k k k k N k k b r b r b r b r = + + ecuació que es de la fora: ( ) ( ) ( ) ( ) b b b k k N k k a a a a = + Ecuació 9 dode: + = + i i i b r a expadiedo la Ecuació 9 : ( ) ( ) b b b p q q k i p q q i i N k k k k k k b r ,..., = = = = Ecuació 0

98 Técicas de coversió biario-rns-biario 86 dode: ( )!!! i k k C p k i k i k = = el tério ( ) =, p k q q k k represeta la sua de los p k-i productos toado de a (k i) térios a la vez, e dode cada tério es de la fora r -i b -i. La priera parte de la Ecuació 0 se puede expresar ediate la fució lógica g: ( ) ( ) ( ) k k b b b p q q k i p q q i i g k k k s r = = = =,...,,..., reescribiedo la Ecuació 0 queda: ( ) b b b b b b N k k k g 0 0,...,... = Los bits b -k- b -k- b 0 que aparece e los expoetes se eplea para ultiplexar la fució g. Esto requiere que g se odifique para obteer -k fucioes lógicas g j, j [0, -k - ]. Estas fucioes se diseña de aera tal que los pesos de los bits b -k- b -k- b 0 que aparece coo expoetes e cada tério sea toadas e cueta. De esta aera se eliia, a diferecia de los algoritos presetados ateriorete e la bibliografía, la ecesidad de bloques adicioales para cálculos e ódulo. Así: ( ) ( ) ( ) ( ) 0,..., 0,..., 0,... 0,..., b b g o b b b g o b b g g k b b b k b b b k b b b b b b k k k k k k = Ejeplo: deteriar los residuos de b b b b e ódulo = 3 ( )( ) ( )( ) b b b b b b b b b b b b b b b b b b b b b b b b b b = + + = + + = = = Ecuació E la Ecuació se toa los bits b y b 0 para ultiplexar la fució sitetizada que depede de los bits b 3 y b. E la sítesis de la fució se puede dar cuatro casos: Si b = b 0 = 0 la Ecuació se reduce a, 3, 9 y para b 3 = b = 0; b 3 = 0, b = ; b 3 =, b = 0 y b 3 = b = respectivaete. Se puede sitetizar la fució g 0, de su tabla de verdad, para diferetes cobiacioes de b 3 y b de la siguiete aera:

99 Técicas de coversió biario-rns-biario g 0 = 8b3 b + 0+ b3b + cuado se evalúa g 0 para diferetes cobiacioes de b 3 y b co b = b 0 = 0 se tiee {, 3, 9, }. Si b = 0 y b 0 = el resultado es {, 6, 8 3, } para las diferetes cobiacioes de b 3 y b. Co lo que se obtiee la fució: 7 g = 0+ 4( b b3 ) + ( b3 or b ) + b3 b De la isa aera se obtiee la fució g si b = y b 0 = 0: Por últio si b = b 0 = se tiee g 3 : g g ( b b ) + 4( b or b ) + b 0 = b + ( b3 or b ) + 4b3 b + ( b3 b ) + b3 3 = 8 b Figura 45 Coversor biario a RNS basado e la expoeciació e ódulo. 7 E g, g 3 y g 4 or es la operació lógica or, o se eplea el síbolo + para o cofudirlo co la sua aritética e la evaluació de dichas expresioes. 87

100 Técicas de coversió biario-rns-biario E la Figura 45 se ilustra el coversor secuecial basado e la expoeciació e ódulo para de 4 bits. El úero a covertir se preseta e fora secuecial desde el bit eos sigificativo, juto co los valores de b 3, b, b y b 0 para cada posició. Segú los valores de b 3 y b el geerador lógico etrega a su salida los valores de las fucioes g 3, g, g y g 0 que se ultiplexa segú b y b 0. El suador e ódulo juto co el registro de salida fucioa coo u acuulador que debe ser puesto a 0 al iicio de la coversió. E [PRE0], a expesas de u costo ayor e hardware, se propoe que la coversió se realice e paralelo e lugar de realizarla e fora secuecial. Este étodo recibe la deoiació de coversor paralelo ediate odulació expoecial. E [PRE06] se preseta u coversor biario a RNS, si el epleo de tablas de búsqueda. Priero se reduce el úero de bits a covertir basado e la periodicidad de los residuos, tal coo se vio e Coversor biario a RNS basado e la periodicidad de los residuos, y luego se aplica la coversió de biario a RNS ediate odulació expoecial e paralelo. Esta estrategia, para de cuatro bits, usa u 44% eos de hardware que el coversor basado e la periodicidad de los residuos y u 66% eos que la coversió ediate la expoeciació e ódulo. Si ebargo o se idica que ocurre co la velocidad de fucioaieto. CONVERSIÓN DE RNS A BINARIO La coversió de RNS a biario es la operació cotraria al cálculo de los residuos de u úero dado. Es ua o tal vez la operació ás difícil e el RNS, ás aú, e alguas aplicacioes es u factor liitate que hace que o pueda copetir co, por ejeplo, la aritética e Ca. Los pricipales étodos de coversió se basa e el Teorea Chio del Residuo, coo se vio e el apartado Teorea Chio del Residuo (CRT) del CAPÍTULO. ARITMÉTICA DE RESIDUOS, y e el sistea de bases ixta visto e Sisteas de base últiple (Mixed Radix Syste, MRS) del iso capítulo. Estos dos efoques se estudia de fora detallada e los apartados Coversió ediate el Teorea Chio del Residuo y Coversió ediate el sistea de raíces ixtas. Mediate variacioes del Teorea Chio del Residuo existe e la bibliografía u gra úero de coversores alterativos alguos de los cuales se tratará e el apartado Coversió RNS a biario ediate variacioes del Teorea Chio del Residuo. Otra estrategia de coversió de RNS a biario cosiste e la elecció de u cojuto de ódulos que perite siplificar los cálculos a realizar. Debido a que estos procediietos está pesados para deteriados cojutos de ódulos, e lugar de u cojuto arbitrario, o se los trata e profudidad pero se los preseta breveete e Trasforació de RNS a biario ediate cojutos especiales de ódulos. Coversió ediate el Teorea Chio del Residuo La coversió de RNS a biario se puede realizar de aera relativaete directa ediate el CRT, segú la Ecuació : 88

101 Técicas de coversió biario-rns-biario X M q = ω i r i i. ω = 0 i i Ecuació Teorea Chio del Residuo. M co = { q,, 0 }, ω i = M y i ω el ultiplicativo iverso de i ω e ódulo i i. La fora directa de ipleetar la Ecuació es ultiplicar e paralelo las costates ω i ω por los r i i y suar los resultados e ódulo M. Aquí hay dos estrategias, i e la priera la reducció e ódulo M se va realizado paso a paso, de aera que se eplea suadores e ódulo M, e la seguda se eplea suadores biarios y se realiza ua reducció fial a ódulo M. La vetaja de la ipleetació directa del Teorea Chio del Residuo es que los ultiplicadores debe realizar el producto de ua costate co u úero variable por lo que se puede optiizar cada ultiplicador para cada caal de cálculo. Más aú, se puede realizar el ultiplicador ediate ua tabla de búsqueda, ver Figura 46. Figura 46 Coversor RNS a biario ediate el Teorea Chio del Residuo. 89

102 Coversió ediate el sistea de raíces ixtas Técicas de coversió biario-rns-biario Por claridad se repite lo visto e apartado Sisteas de base últiple (Mixed Radix Syste, MRS). La represetació de u úero etero X e el sistea de bases últiples tiee la siguiete fora [BIZ97]: q X = aq p... a 3 p p a p i i = a Ecuació 3 Represetació ediate el sistea de raíces ixtas. dode p i so las raíces o bases, a i los dígitos, que cuple co 0 a i < p i. Esta represetació es úica detro del itervalo 0, q p i. i = E el caso particular e que se hace coicidir u cojuto de ódulos co el cojuto de bases p, p,... p q tal que i = p i se dice que los dos sisteas está asociados y preseta el iso rago diáico M. Por lo que la Ecuació 3 se puede represetar coo: q X = aq... a 3 a i i = a Ecuació 4 Represetació del etero X segú el sistea de bases ixtas. Para el úero X e el RNS, represetado por el cojuto de residuos r, r,... r q, los dígitos a i se puede deteriar desde el eos sigificativo, a, ediate el algorito que se uestra a cotiuació. Aquí la otació epleada es la siguiete: por ejeplo, 6 - es - 6, es decir el ultiplicativo iverso de e ódulo 6. Cálculo de a : X = r = a Cálculo de a : Cálculo de a 3 : q X a =... aq a 3 a i i = q ( X a ) = aq i a 3 a + i = ( r a ) a = 90

103 Técicas de coversió biario-rns-biario 9 ( ) q i a a i aq a a X = = ( ) = = q i a a i aq a a X ( ) a a a r = Cálculo de a 4 : ( ) = = q i a a i aq a a X ( ) = + + = q i a i aq a a a X ( ) a a a a r = Cálculo de a 5 : ( ) = = q i a a i aq a a a X ( ) = + + = q i a i aq a a a a X ( ) a a a a a r = Cálculo de a 6 : ( ) a q i a i aq a a a a X + = + + = ( ) = + + = q i a i aq a a a a a X ( ) a a a a a a r =

104 para el tério geérico q: (... ( q ( q ( rq a ) a ) ) aq ) = aq q, q... Técicas de coversió biario-rns-biario El esquea para u cojuto de seis ódulos se ilustra e la Figura 47. Coo se puede apreciar está copuesto por restadores biarios, suadores biarios y tablas de búsqueda; que realiza tres tipos de cálculo. E el prier caso, por ejeplo el bloque idicado coo., realiza el producto de la salida del restador superior, r 6 r, co el 6 ultiplicativo iverso de e ódulo 6. El segudo tipo de bloque, por ejeplo q., realiza el producto de la diferecia r r co el ultiplicativo iverso de e ódulo y al resultado lo preseta e ódulo. Por últio puesto que la Ecuació 4 se puede reescribir coo: X = a q v q +... a v a v + a v co v =, v =, v 3 =, v 3 = 3, v q = q ; los bloques v i, por ejeplo v 6, realiza el producto de su etrada co Se ve que este esquea es claraete secuecial, para deteriar el valor de a i ates se debe coocer el valor de a i-. Ésta es ua cosideració eor puesto que si se segeta segú las líeas S i este diseño secuecial se trasfora e uo paralelo co u icreeto e la velocidad de trabajo sustacial. Ejeplo: ecotrar el valor de X para el cojuto de residuos r = {78, 4, 47, 7} y el de ódulos = {7, 63, 50, 3} [YAS9] v = v = 7 v 3 = = 8.00 v 4 = = = 7-63 = - 3 = 7-50 = 3-4 = 7-3 = 4-3 = = 7-4 = 63-3 = = 50-3 = 6 a = 78 a = (4-78) 63 = 6 a 3 = ((47-78) 3 6) 7 50 = 7 a 4 = (((7 78) 4 6) 6 7) 6 3 = 9 X = (7) + 7 ( 7. 63) + 9 ( ) =

105 Técicas de coversió biario-rns-biario Figura 47 Coversor RNS a biario ediate el sistea de bases ixta para seis ódulos. Coversió RNS a biario ediate variacioes del Teorea Chio del Residuo Nuevo Teorea Chio del Residuo I Puesto que los ω de la Ecuació puede ser grades la idea cosiste e reducir el i peso de los ω a ua atriz de úeros eores que el ódulo i i. Más aú, el NTCR-I es u algorito de coversió basado e el MRC pero que trabaja e paralelo. 93

106 Técicas de coversió biario-rns-biario Las propiedades de la aritética de residuos que eplea el NCRT-I so [WAN98]: Si a = a =, a = Si a = =, k k a a = a = a a = a E [WAN98] se da si deostració el siguiete teorea. El úero X co el cojuto de residuos r = {r, r, r q } y ódulos = {,, q } se puede represetar segú el NCRT-I coo: X = r + k ( r r ) + k ( r r ) + + k... ( r r ) 3... q q Ecuació 5 Represetació del úero X segú el NCRT-I. q q... q dode k 3... q = 3... q, k =,... kq... q q =, La Ecuació 5 difiere del CRT e que o usa los pesos ω i y del MRC e que se trata de u proceso de cálculo que se puede realizar e paralelo. Ejeplo: ecotrar el valor de X para el cojuto de residuos r = {,, 3, 4} y el de ódulos = {3, 5, 7, }. k 3 =, k3.5 =, k = por lo que k = 57, k = 36 y k 3 =. Realizado los cálculos: X = = 367 La Ecuació 5 se puede reorgaizar de la siguiete aera: X = a0 r + a r aq... q rq M dode: a0 = k, a = k k,... aq k k q aq k... q q... =, q q = q q Los pesos a i 3 i tiee la fora del sistea de raíces ixtas, ás aú se puede asuir que tiee la fora defiida e este iso sistea [WAN98]: 94

107 Técicas de coversió biario-rns-biario a q a 0... a = a q q 0,0 = a + a a = a, 0, q, q a a q... 0, q, q... = a q, q q a q q, q... q q... q Represetado de fora atricial: a a A =... a0, q a0, q 0,0 0, a 0,, q a a, a a q, q q, q a q, q A recibe el obre de atriz característica del cojuto de ódulos = {,, q }, dode a j,i < i+, es decir que cada úero e la atriz característica esta liitado por i. Dado el cojuto de residuos r = {r, r, r q } se puede defiir el vector B coo B = A. r. El úero B i = a 0,i r + a,i r + + a i,i r i+ es el iésio pseudodígito. B0 B B =... Bq Bq a a =... a0, q a0, q 0,0 0, a, q a a 0,, q a a q, q q, q a q, q r r... rq rq El úero X se puede represetar etoces: X = B0 + B + B Bq... q M La diferecia etre el NCRT-I y el CRT es evidete, se eplea ua atriz característica que está forada por úeros pequeños e lugar de los grades ω i del CRT. Más aú el NCRT-I está represetado e el sistea ixto de raíces. E la Figura 48 se puede ver el hardware requerido para el cálculo de los coeficietes B i, e tato que e la Figura 49 el esquea total. Ejeplo: ecotrar el valor de X para el cojuto de residuos r = {,, 3, 4} y el de ódulos = {3, 5, 7, } ediate el NCRT-I. Los valores de los k i se deteriaro e el ejeplo aterior por lo que la atriz característica es: 3 A =

108 Técicas de coversió biario-rns-biario ( 3r + r ) + ( 4r + r + r3 ) + ( 3r + r + 3r3 r4 ) 3 55 X = r + + X ( 3 + 4) 3 + ( ) 5 + ( ) = + = 55 Figura 48 Esquea de cálculo de los coeficietes B i. Figura 49 Esquea de coversió de RNS a biario ediate el NCRT-I. Nuevo Teorea Chio del Residuo II El New Chiese Reaider Theore II (NCRT-II) realiza la coversió del RNS a biario ediate el epleo de operacioes e ódulo de taaño reducido. Por ejeplo, si = {, } co < y residuos r = {r, r } e [WAN98] se deuestra que el úero X se puede ecotrar ediate la fórula: X = r + k 0 ( r r ) dode k 0 es u etero positivo, que siepre existe, y que satisface la codició k. 0 = 96

109 Técicas de coversió biario-rns-biario Si ahora se tiee el cojuto geérico de residuos y ódulos r = {r, r, r q } y = {,, q } co < < < q el algorito TRANSLATE ecuetra el valor de X así: algorito TRANSLATE((r, r, r q ), X) coiezo si q >, hacer t = q/ etoces TRANSLATE((r, r, r t ), N ), M = t, TRANSLATE((r t+, r q ), N ), M = t+ q, FINDNO(N, N, M, M, X), fi si q =, etoces FINDNO(r, r,,, X), fi fi algorito FINDNO(r, r,,, X) coiezo ecotrar k 0 tal que k fi X = r + k 0 ( r r ) 0 = La diferecia etre el NCRT-II y el CRT es evidete. E el NCRT-II o se debe realizar grades operacioes e ódulo. Los ultiplicadores e ódulo e el NCRT-II está liitados al taaño M. Ejeplo: para las isas codicioes del ejeplo aterior deteriar X. Priero se calcula los valores de los k i : k 0 =, k =, k = 8 N N 5 k 0 3 =, k 7 =, 7.k 3.5 = 0 ( r r ) = +. ( ) 5 = 7 = r + k 4 ( r r ) = 4 +. ( ) = 59 = r + k ( N N ) = ( 7 59) X = N + k 3 4 = = = = El cojuto de ódulos = {3, 5, 7, } puede represetar úeros biarios de 0 bits, co u rago diáico M =.55. Este tipo de coversor, e lugar de ecesitar suadores e ódulo.55 eplea ultiplicadores e ódulo 3, 7 y 5, productos que se puede ipleetar fácilete ediate tablas de búsqueda. E la Figura 50 se uestra la ipleetació del algorito FINDNO e hardware, e tato que e la Figura 5 el esquea de coversió de biario a RNS basado e el NCRT-II. 97

110 Técicas de coversió biario-rns-biario Figura 50 Módulo para la ipleetació del algorito FINDNO. Figura 5 Esquea de coversió basado e el NCRT-II. Coversió ediate el Teorea Chio de Residuo Aproxiado El Teorea Chio del Residuo Aproxiado (CRT-ε) tiee varias aplicacioes, que icluye detecció de sigo, divisió, detecció de overflow y coversió de RNS a biario. La precisió de la decodificació puede ir de baja, para detecció aproxiada de sigo, edia para decodificació escalada a represetació copleta para detecció exacta de sigo [HUN05]. El CRT-ε epleado coo ecaiso de coversió de RNS a biario tiee dos versioes, la que eplea redodeo y la que truca, e abos casos el hardware ecesario es eor que e el CRT. Si ebargo, citado a [HUN05], However, we have bee uable to locate ay work that aalyses the decodig error forally. Soe obtai a siple upper-bod error by treatig the proble as roudig or trucatio error, while others use exahustive search to fid the rage of errors for specific oduli. (sic). Existe trabajos para cojutos especiales de ódulos, e los que se acota el error áxio, pero o para cojutos arbitrarios. Más aú, e alguos trabajos se refuta los resultados de trabajos previos. 98

111 Técicas de coversió biario-rns-biario Dado que el CRT-ε o provee ua represetació exacta del úero que se desea ecotrar y puesto que los trabajos vistos explora u uiverso liitado de ódulos y que, ás aú, estos resultados so cotrovertidos se decidió o estudiar este tipo de coversores. Trasforació de RNS a biario ediate cojutos especiales de ódulos Existe cojutos especiales de ódulos e los que o so ecesarias operacioes e ódulo para realizar la coversió de RNS a biario. E este apartado se idica los cojutos ecotrados e la bibliografía. No se detalla cada uo de ellos por dos razoes. E prier lugar si se trabaja co úeros eteros de bits e [CHI83] se deuestra que ediate el RNS se puede pasar de aritética de bits a aritética de log () bits y que se ecesita O(/log ()) caales co operacioes realizadas e ódulo. Al trabajar co u cojuto reducido de ódulos especiales, por ejeplo ua tera, se pierde la propiedad de poder operar co pocos bits por caal. E segudo lugar se pierde geeralidad. Por lo expuesto se uestra ua de las posibles foras de trabajar co el cojuto ás popular y estudiado que es el {,, + }, e tato que e la Tabla 4 se euera los cojutos de ódulos ás coues co la bibliografía correspodiete. E [WAN96] se deuestra que el úero X se puede calcular, a partir de sus residuos {r, r, r 3 }, co ódulos {,, + }, ediate la siguiete expresió: X ( r r3 ) + ( r r + r3 ) ( + ) = r + que se puede procesar: X = r + Y Y = A+ B co: r + A = r + B = ( r r ) + ( r ) + ( ) ( r r ) + r + ( ) r dode r 0 es el bit eos sigificativo del residuo r y r 30 el eos sigificativo de r 3. Ejeplo: co = {,, + } = {7, 8, 9} y r = {, 7, } = {00,, 000}, que correspode al úero expresado e octal 67. r 0 r 30 = 99

112 Técicas de coversió biario-rns-biario r ( r r ) + ( r ) + ( ) = A = 00 r ( r r ) + r + ( ) r = B = 0 Y = = 50 = X = Y = coo se puede apreciar, si se desea, el úero queda represetado e octal. Cojutos de ódulos especiales Referecias {,, [GAL97][WAN96] + } [PIE95] {,, + } [PRE9] {, +, + } [PRE95] { +,, +, + (+)/ +, (+)/ + [SKA98] { k, + k } [CAR98a] { -, +, + } [LIN06] { +,, } [PEM07] {,, +, + } [VIN00][MOH07] {,, +, + + } [MOH07] {r a, r b, r c + } [ABD05] { 3, +,, + 3} [SHE04] {,, +, +, - } [CAO07] {3 -, 3 +, 3 +, 3 - } [MEH08] Tabla 4 Cojutos de ódulos especiales co sus referecias. IMPLEMENTACIÓN DE CONVERSORES BINARIO-RNS- BINARIO EN LÓGICA PROGRAMABLE E el CAPÍTULO 3. OPERACIONES ARITMÉTICAS MEDIANTE RESIDUOS se trabajó co las FPGAs de Altera de las failias MAX y FLEX. Los dispositivos epleados fuero el EPM78SLC84-6 para sítesis ediate suas de térios producto y el EPF0K0RC40-3 para tablas de búsqueda. La elecció de estos dispositivos e particular se debe al hecho de que está dispoibles e el Laboratorio de Copoetes Electróicos e la plaqueta provista por el Prograa Uiversitario de Altera. De aera que se puede realizar siulacioes físicas de los circuitos desarrollados e lugar de quedar liitado a la siulació ediate el software correspodiete. Para el desarrollo de este capítulo la situació es distita, por u lado se trabaja co circuitos que o etra e los dispositivos ecioados, por el otro, tato para la coversió biario a RNS coo para la RNS a biario se requiere bloques de eoria, por lo que queda descartada la failia MAX. Por lo expuesto el dispositivo seleccioado para trabajar fue el EPF0K00GC503-3 de la failia 00

113 Técicas de coversió biario-rns-biario FLEX. E todos los circuitos, al igual que e el capítulo siguiete, para el cálculo áxio de la frecuecia de operació, se registra las etradas y las salidas. Otro puto a teer e cueta es el gra úero de casos de siulació posible. E efecto, las variables so: úero de bits co que se trabaja, el cojuto de ódulos seleccioado y el étodo de coversió. A diferecia de la fora de trabajo epleada e el CAPÍTULO 3. OPERACIONES ARITMÉTICAS MEDIANTE RESIDUOS, e que se realizó u estudio exhaustivo para ódulos de hasta 8 bits, aquí es ecesario, dado el elevado úero de cobiacioes posibles, poer liites al uiverso de casos bajo estudio. Por tal otivo, y por razoes que quedará e claro e el CAPÍTULO 4. GENERADORES PSEUDOALEATORIOS MEDIANTE EL SISTEMA NUMÉRICO DE RESIDUOS, se trabajó co úeros de 33 bits y co dos cojutos de ódulos: = {3,, 7, 9, 3, 9, 3, 37} y = {3, 43, 47, 67, 97, 09}. Coversió de biario a RNS e FPGAs El étodo de coversió para pasar de biario a RNS fue el que se cita ás a eudo e la bibliografía de aplicacioes del RNS detallado e APLICACIONES DEL SISTEMA NUMÉRICO DE RESIDUOS. Cosiste el la aplicació directa de la técica detallada e Coversió de biario a residuos epleado tablas de búsqueda, suadores biarios y ua reducció fial al ódulo del caal de trabajo, co segetació e cada ivel. Deteriado el cojuto de ódulos y el úero de bits de trabajo sólo resta decidir el úero de bits e que se agrupa. Para realizar u estudio coparativo se toaro grupos de 8, 7, 6 y 5 bits. E las Tabla 5 a la Tabla 8 se detalla los resultados para los ódulos ivolucrados e los cojutos y. Co úero de bits se idica el úero de bits del ódulo correspodiete, e tato que, co uero de bits del resultado se idica e úero de bits ecesario para represetar el resultado fial del árbol de suadores para su reducció fial e ódulo. Módulo Núero de bits Núero de bits del resultado Frec. (Mhzs) Período (seg) LC EABs ,95, ,07 3, ,03, ,03, ,03, ,03, ,74, ,33, ,33, ,33, ,30, ,30, ,8 3, Tabla 5 Costo del coversor biario a RNS toado ódulo de a 8 bits. 0

114 Técicas de coversió biario-rns-biario Módulo Núero de bits Núero de bits del resultado Frec. (Mhzs) Período (seg) LC EABs ,95, ,47, ,7, ,7, ,7, ,7, ,7, ,0, ,0, ,0, ,30, ,30, ,8 3, Tabla 6 Costo del coversor biario a RNS toado ódulo de a 7 bits. Módulo Núero de bits Núero de bits del resultado Frec. (Mhzs) Período (seg) LC EABs ,74, ,30, , , , , , ,0 4, ,0 4, ,33 3, ,9 4, ,47 7, ,47 7, 5 0 Tabla 7 Costo del coversor biario a RNS toado ódulo de a 6 bits. 0

115 Técicas de coversió biario-rns-biario Módulo Núero de bits Núero de bits del resultado Frec. (Mhzs) Período (seg) LC EABs ,33 3, ,47, ,03, ,03, ,03, ,03, ,03, ,64, ,0 4, ,0 4, ,0 4, ,69 5, ,69 5,7 60 Tabla 8 Costo del coversor biario a RNS toado ódulo de a 5 bits. Para deteriar la frecuecia de fucioaieto para cada cojuto de ódulos es suficiete co fijarse e cada ua de las tablas y buscar el ódulo co peor desepeño, este será el que liite de frecuecia del coversor. E cuato a la coplejidad e hardware basta co suar los LCs y los EABs para cada caso. El resultado de este aálisis se uestra e la Tabla 9. Cojuto de ódulos Toados de a Frec. (Mhzs) LC EABs 5 bits 8, bits 68, bits 86, bits 83, bits 63, bits 58, bits 75, bits 75, Tabla 9 Aálisis coparativo de coversió biario a RNS para los cojutos y. De la Tabla 9 se desprede que para el cojuto las ejores opcioes so covertir de a 7 u 8 bits. E tato que para el la ejor alterativa es toar de a 8 bits pues o sólo tiee el ejor coportaieto e frecuecia sio que adeás es el que ecesita eos hardware. Coversió de RNS a biario e FPGAs E CONVERSIÓN DE RNS A BINARIO se ha presetado distitas técicas de coversió. La coversió directa ediate el CRT es uy criticada debido a que se debe trabajar co suadores e ódulo M. Si ebargo e los deás étodos de coversió presetados se debe eplear u úero iportate de ultiplicadores, auque trabajado e cada uo de ellos e ódulos eores que M, adeás se ecesita tablas de búsqueda 03

116 Técicas de coversió biario-rns-biario adicioales. Por tal otivo se exploró sólo la coversió de RNS a biario ediate el CRT, co suadores e ódulo M y segetació a la salida de cada suador. E la Tabla 30 se uestra el resultado de la siulació para los dos cojutos de ódulos propuestos. Coo se puede apreciar, si bie se ecesita pocos bits de eoria, el úero de EABs es elevado, esto se debe a que la arquitectura de los EABs, e este caso, o es la ás apropiada para el úero de bits co que se está trabajado, puesto que co bloques de.048 bits tiee que forar, por ejeplo para = 9, 9 palabras pero de 33 bits cada ua. Cojuto de ódulos Frec. (Mhzs) Período (seg) LC EABs Núero de bits 30,80 3, , 33, Tabla 30 Costo del coversor de RNS a biario ediate el CRT. 04

117 Operacioes aritéticas ediate residuos Operacioes Aritéticas Mediate Residuos 3 Se le atribuye a Blaise Pascal la iveció de la priera calculadora ecáica operativa 8. E efecto, e el año 640 coezó a desarrollar ua áquia para ayudar a su padre a realizar suas de diero, y e 64 presetó el prier odelo operativo, que fue seguido de quice versioes ejoradas durate los siguietes diez años. La áquia de Pascal sólo podía suar y restar, las operacioes de ultiplicació y divisió se ipleetaba ediate ua serie de adicioes y substraccioes. E realidad la úica operació que realizaba era la sua, puesto que la resta se efectuaba e copleeto, es decir, que se represeta e copleeto el úero a ser restado y luego se le adicioa al suado, de fora siilar a coo realiza esta operació las coputadoras oderas. 8 Otros autores cita a Leoardo da Vici, de quie se ha ecotrado bocetos que data del año 500 e los que se describe ua áquia de este tipo. 05

118 Operacioes aritéticas ediate residuos CAPÍTULO 3. OPERACIONES ARITMÉTICAS MEDIANTE RESIDUOS E este capítulo se describe las distitas técicas que existe para resolver las cuatro operacioes básicas e el Sistea Nuérico de Residuos y su ipleetació e lógica prograable. Se eplearo e todos los casos los dispositivos prograables de Altera, la failia MAX para sítesis ediate térios producto y la FLEX para hacerlo ediate tablas de búsqueda. Para la siplificació de expresioes booleaas se utilizó el prograa Espresso. Para cada operació se preseta ua coparació de costo y velocidad 9 para distitos ódulos de aera de poder deteriar la realizació óptia e cada caso. Se realizaro aproxiadaete uas siulacioes. Fialete se da ua coclusió geeral para el capítulo. INTRODUCCIÓN Cada ipleetació se desigó ediate u código creado especialete para idetificarlo. Este código posee distitos capos, el priero idica la operació que realiza, el segudo el étodo epleado, el tercero si se sitetizó ediate FPGAs que utiliza tablas de búsqueda o térios producto coo eleetos de sítesis lógica, y el últio, si correspode, si se epleó la técica de segetació para auetar la velocidad de cálculo. La oeclatura se idica e la siguiete tabla: Sigla TP TB TV S R M D SEG BIN HIB PRO CUA ROM INX Sigificado Térios producto (MAX) Tabla de búsqueda (FLEX) Tabla de verdad Sua Resta Multiplicació Divisió Segetado Suadores Biarios Híbrido Propuesto Multiplicador cuadrático Meoria ROM Multiplicador idexado Tabla 3 Noeclatura epleada para los distitos étodos de sítesis de operacioes e el RNS. 9 Para poder deteriar la frecuecia de trabajo áxia e todos los casos se registra tato las etradas coo las salidas. 06

119 Operacioes aritéticas ediate residuos E cada caso priero se preseta los distitos tipos de circuitos y luego u estudio coparativo etre ellos, se siularo tato para la failia MAX coo para la FLEX. Los dispositivos epleados fuero el EPM760SLC84-6 para sítesis ediate suas de térios producto y el EPF0K0RC40-3 para tablas de búsqueda. La descripció de los circuitos se realizó e VHDL y se siularo ediate el prograa Max + Plus II SUMA EN RNS Sua e RNS ediate tablas de verdad El prier étodo de sítesis de cualquier problea digital es su ipleetació directa segú su tabla de verdad, depediedo de la coplejidad resultate se toará esta solució o habrá que idear otro ecaiso. Por ejeplo, e el caso de la sua e el sistea biario atural, a edida que el úero de bits se icreeta crece la coplejidad de la solució ediate dos iveles lógicos, es decir sua de productos o productos de suas, por ello se ha ideado otras técicas que requiere eos hardware y adeás so odulares, el costo que hay que pagar es el ayor tiepo de cálculo del sistea. Figura 5 Ipleetació del circuito suador ediate sítesis directa de su tabla de verdad. Se itetará resolver priero el problea ediate sítesis directa de su tabla de verdad, esto servirá para dar ua idea de cóo se icreeta su costo e hardware a edida que se trabaja co u ódulo ayor. Existe si ebargo, para alguos casos, ua siplificació co respecto a la sua e el sistea biario atural, y es que o todas las cobiacioes posibles de las variables estará presetes [HIA96]. E efecto, cada residuo se puede represetar ediate bits co: = log y el ódulo correspodiete a ese caal de trabajo. Para evaluar s = a b se debe costruir ua tabla de verdad co variables y fucioes. La tabla posee etoces cobiacioes posibles de etrada. Si < se presetará ua serie de térios 30 Copyright (c) Altera Corporatio. 07

120 Operacioes aritéticas ediate residuos redudates que ayudará a siplificar el hardware, ás aú, al depeder todas las fucioes de las isas variables se realizará la sítesis por el étodo de siplificació de ultifucioes. De aera ás precisa, de las cobiacioes posibles sólo iteresa de ellas por lo que el úero total de térios redudates es -. Por ejeplo: si = 5 etoces = 3, el úero total de cobiacioes posibles es.3 = 64 y.3 5 = 39 es el úero de térios redudates coo puede verse e la Tabla 3. De aera que para alguos valores de el ahorro de hardware puede ser sigificativo. a b s A b s a b s a B s *** *** *** *** *** *** 00 0 *** 00 0 *** 0 0 *** *** 00 0 *** 00 0 *** 0 0 *** 000 *** 00 *** 00 *** 0 *** *** 000 *** *** 00 *** *** 00 *** *** 0 *** *** 00 *** 00 0 *** 0 0 *** 0 0 *** 0 *** 00 0 *** 0 0 *** 0 0 *** 0 *** 00 *** 0 *** 0 *** *** Tabla 3 Tabla de verdad para la sua e ódulo 5. ANDs ORs Total ANDs ORs Total ANDs Ors Total Tabla 33 Costo de la operació sua realizada ediate la siplificació directa de su tabla de verdad, segú iitérios. Se idica el úero de trasistores NMOS para realizar las copuertas ad, las or y el total. 08

121 Operacioes aritéticas ediate residuos La iiizació de la operació sua se realizó ediate el étodo de Quie- McCluskey co iitérios ediate el prograa Espresso, toado vetaja de la siplificació co ultifucioes. E la Tabla 33 se uestra el costo para ódulos copredidos etre 3 y 49. El costo se ide segú el úero de trasistores MOS de caal N ecesarios para costruir el circuito, si se eplea la técica CMOS estática, el úero de trasistores será el doble. E la Figura 53 se uestra el costo total e fució del ódulo Costo Módulo Figura 53 Costo de la fució sua, segú el úero de trasistores NMOS ecesarios. Coo se puede observar la coplejidad crece de aera expoecial, haciedo itratable el problea ediate esta técica. Por lo tato para la sítesis ediate lógica prograable se realizó el estudio para 3 9. Para la sítesis de este circuito se epleó, a diferecia de la ayoría de los casos, el leguaje AHDL (Altera Hardware Descriptio Laguage) adeás de VHDL. Esta elecció se toó por la facilidad co que se puede igresar tablas de verdad e la descripció circuital de u sistea. Si bie se pierde geeralidad, pues AHDL o es portable, se decidió e este caso esta estrategia puesto que este tipo de suador será útil sólo para ódulos pequeños, es decir u pequeño cojuto detro del estudio total. La otació epleada para esta arquitectura es S_TV_TP y S_TV_TB segú se trate de su ipleetació ediate suas de productos o tablas de búsqueda. Los resultados se uestra e la Tabla 34, tato para descripció VHDL coo AHDL. Se puede ver que la ipleetació directa de la sua e RNS puede ser útil, desde el puto de vista del costo e hardware para realizarlo, para ódulos pequeños, por ejeplo eores que 7. Estos resultados se puede visualizar ejor de aera gráfica. E la Figura 54 se uestra la variació de la frecuecia de operació e fució del ódulo, e tato que, e la Figura 55 la coplejidad del hardware requerido, para los circuitos descriptos ediate VHDL. E las Figura 56 y la Figura 57 se ilustra la isa iforació cuado se eplea el leguaje AHDL. 09

122 Operacioes aritéticas ediate residuos Módulo VHDL EPM78SLC84-6 EPF0K0RC40-3 S_TV_TP S_TV_TB Período Frec. LC Período Frec. ( seg ) ( Mhzs) ( seg ) ( Mhzs) LC AHDL EPM78SLC84-6 EPF0K0RC40-3 S_TV_TP S_TV_TB Período Frec. LC Período Frec. ( seg ) ( Mhzs) ( seg ) ( Mhzs) LC 3 6,8 47,05 6 8,0 5,00 6 6,8 47,05 6 8,00 5, ,8 47,05 6 8,0 5,00 6 6,7 49,5 6 8,00 5, ,5 95,3 9, 89,8 9 0,5 95,3 9 9,0 5,08 8 6, 8,96 0 8,0 5,00 0,5 95,3 9 5,0 65,78 8 7, 8,96 4 4,9 67, 36, 8,96 4,40 46, ,5 95,3 9 8,0 5,00 0,5 95,3 9 6,0 6, 6 9,3 8,30 8,6 53,76 58,3 8,30 30,40 3, ,3 8,30 0,3 46,94 57,3 8,30 4,70 40,48 88,3 8,30 5 4,3 4,5 85,3 8, ,50 8,6 49, 8,96 0 8,0 5,00 7,3 8,30 0 3,60 4,37 7 3,4 80, , 43,0 0,4 80, ,30 4,8 97 4,3 8,30 9 4, 4,49 80,3 8, ,70 7,4 4 5,4 80,64 3 0,7 48,30 97,3 8, ,50, , 8,96 4,4 87,7 7, 8,96 4 8,0 35, ,6 79, , 38,6 59, ,50 3,5 57 8, ,6 39,06 7, ,90 5, ,6 79, ,4 3,84 8, ,70 0, Tabla 34 Período, frecuecia y úero de celdas lógicas e fució del ódulo para la adició ediate sítesis por tabla de verdad. Sitetizado ediate VHDL y AHDL. Coo puede apreciarse e casi todos los casos la frecuecia de operació es ayor para la sítesis ediate suas de térios producto, aú tratádose de ua FPGA grado 6 para TP y de ua grado 3 para TB. Más aú, la coplejidad e tabié eor e el caso de la serie MAX que e el de la FLEX. Este coportaieto era previsible, teiedo e cueta que cuado se eplea tablas de búsqueda para la sítesis lógica, esta se realiza, e el caso de la failia FLEX, a partir de pequeñas tablas de búsqueda de cuatro etradas. Por lo que a edida que la coplejidad aueta, es decir ayor úero de variables y de fucioes, el copilador deberá realizar el circuito ediate estrategias de siplificació ultiivel, o ta eficietes coo la de dos iveles y, adeás, adaptadas a la arquitectura de la FPGA. E tato que, e el caso de suas de productos, cada acrocelda, adeás de teer u gra fai, puede toar vetaja de la siplificació lógica, por lo que o ecesita ipleetar todos los iitérios. Esto deteria u eor úero de celdas lógicas para realizar los circuitos, co lo cual, adeás, habrá eos celdas coectadas e cascada, se reduce los tiepos de propagació y por lo tato aueta la frecuecia de operació. Coo se explicó, tabié se realizó la sítesis ediate AHDL. Sorpredeteete los resultados fuero peores que cuado se los describe e VHDL previa siplificació de la tabla de verdad por iitérios epleado el prograa Espresso. Esta diferecia es ás otoria cuado se eplea FPGAs que eplea tablas de búsqueda coo eleetos de sítesis, ver Tabla 34. 0

123 Operacioes aritéticas ediate residuos Frecuecia [MHz] Térios producto Tablas de búsqueda Sítesis VHDL Módulo Figura 54 Frecuecia de trabajo de la operació sua, sitetizada ediate tablas de verdad, e fució del ódulo. E VHDL Térios producto Tablas de búsqueda Sítesis VHDL 50 Celdas Módulo Figura 55 Núero de celdas para realizar la operació de sua, ediate tablas de verdad, e fució del ódulo. Sítesis e VHDL.

124 Operacioes aritéticas ediate residuos Térios producto Tablas de búsqueda Sítesis AHDL Frecuecia Módulo Figura 56 Frecuecia de trabajo de la operació sua, sitetizada ediate tablas de verdad, e fució del ódulo. Epleado el leguaje AHDL Térios producto Tablas de búsqueda Sítesis AHDL 50 Celdas Módulo Figura 57 Núero de celdas para realizar la operació de sua, ediate tablas de verdad, e fució del ódulo. Epleado el leguaje AHDL. 3 Los putos e que la frecuecia es de 0 Hhz idica que el circuito o se pudo sitetizar e ua úica FPGA.

125 Operacioes aritéticas ediate residuos Sítesis ediate suadores biarios La sua e ódulo de dos eteros a y b se puede descopoer e dos casos: a + b = a + b, a + b, si si a + b a + b < e el priero el resultado o excede el valor de - por lo que o se debe realizar igua correcció, e el segudo, para obteer e valor correcto, se le debe restar el ódulo co el cual se está trabajado. Para la adició e RNS se puede eplear suadores biarios [BAY87] [DUG9], la coplejidad del circuito resultate depede del ódulo co que sé este trabajado. Se puede presetar las siguietes variates: Prier caso: = La adició e ódulo = de dos residuos es igual a la sua biaria covecioal, e dode el bit de acarreo se igora, puesto que = 0. O visto de otra aera, los resultados ayores que se deberá corregir restádole que es precisaete el acarreo. Segudo caso: = - E este caso se sua los residuos y, de haber acarreo, se lo sua al resultado aterior. E efecto, si el resultado de la sua es: c a,... a 3 a a a 0 co c el bit de acarreo, a - el bit ás sigificativo del resultado y a 0 el eos sigificativo, es equivalete a escribirlo coo: + a a a a a, o + a,... a 3 a a a 0 co lo que fialete: a,... a3 a a a0 + Tercer caso: < - 3

126 Operacioes aritéticas ediate residuos La sua directa de dos residuos presetará -, coo se vio e Sua e RNS ediate tablas de verdad, cobiacioes icorrectas, por lo que al resultado se le deberá restar, o lo que es igual, suarle su copleeto al ódulo ( ) por ser u aillo, ver Represetació geoétrica e Diferecias etre la aritética e ódulo y la aritética. Este caso se puede resolver ediate dos suadores biarios, el priero, SuadorA, calcula a + b e tato que el segudo, SuadorB, a + b. El problea reside e saber cual de los dos da la sua correcta y presetar esta coo resultado. E la Tabla 35 [BAY87] [DUG9] se uestra todos los casos que se puede presetar: Etrada SuadorA SuadorB Resultado correcto 0 a + b < [ ] AcarreoA = 0 [ ] + - = [ ( - )...( - ) ] SuadorA a + b - [...( - ) ] AcarreoA = 0 a + b - [... - ] = [ ] AcarreoA = AcarreoB = 0 [...( - ) ] + - = [...( + - ( + ) ] = [ 0...( - ( + ) ] AcarreoB = [ ] + - = [ -... ( - ) ] AcarreoB = 0 SuadorB SuadorB Tabla 35 Selecció del resultado correcto para la sua e ódulo ediate suadores biarios. Figura 58 Sua e ódulo ediate el uso de suadores biarios. Observado esta tabla se puede ver que el resultado correcto es el que etrega el SuadorB siepre que se tega u acarreo e alguo de los dos suadores, e tato que, será 4

127 Operacioes aritéticas ediate residuos el del SuadorA, cuado o existe acarreo e iguo de los dos. El problea se puede resolver etoces co dos suadores biarios, u ultiplexor y ua copuerta or de dos etradas coo se puede ver e la Figura 58. Toado vetaja de las arquitecturas de las FPGAs se puede auetar la velocidad de fucioaieto co u costo igual o siilar segetado el circuito. E efecto, cada celda, se trate de u eleeto lógico o de ua acrocelda, posee a su salida u flip flop que e la ipleetació de la Figura 58 se desaprovecha, de aera que segetado segú S y S, coo se idica e la Figura 59 se puede ejorar la velocidad de fucioaieto, pagado el costo de u pequeño hardware adicioal y de teer u tiepo de latecia iherete a este tipo de estrategia. La deoiació epleada para estos circuitos es S_BIN_TP y S_BIN_TB para el caso si segetació y S_BIN_TP_SEG y S_BIN_TB_SEG para el caso e dode se la eplea. BIN sigifica que e estas arquitecturas se eplea suadores biarios. Figura 59 Sua e ódulo ediate suadores biaros, co segetació. Otra arquitectura (S_BIN_TP_A y S_BIN_TB_B) para realizar la adició e ódulo ediate suadores biarios se detalla e [DUG9]. Esta estrategia cosiste, ver Figura 60, e el epleo de u úico suador biario y realizado la operació e dos ciclos. E prier lugar ua señal, llaada Sua cotrola las etradas de los ultiplexores MultA y MultB de aera que, e el prier ciclo, el Suador realiza la adició de a y b que, co acarreo icluido, queda alaceada e Registro. E el segudo ciclo la señal Sua preseta, ediate los ultiplexores, a la etrada del Suador la costate de correcció y la sua de a co b del paso aterior, y, al iso tiepo, la copuerta or seleccioa el resultado correcto e el ultiplexor de salida. E [DUG9], Figura 6, se preseta ua tercera variate (S_BIN_TP_B y S_BIN_TB_B) para realizar este tipo de suadores. Coo e el caso aterior la operació se 5

128 Operacioes aritéticas ediate residuos realiza e dos ciclos y co el epleo de u úico suador biario. La señal sua cotrola las etradas de los ultiplexores MultA y MultB. E el prier ciclo, Sua =, se coputa a + b, operació que juto co el acarreo se alacea e Registro. E el segudo ciclo, Sua = 0, el Coparador idica si la salida de Registro es >, e cuyo caso etrega u, que igresa egado a la copuerta or, y se realiza la adició de SuaA co la costate de correcció, si así o fuera, e el segudo ciclo se preseta coo resultado la sua origial. El valor correcto, co o si correcció, siepre se uestra a la salida del Suador. Para que el fucioaieto sea correcto la salida del coparador debe estar iicialete e 0. Figura 60 Suador e ódulo epleado u úico suador biario. El circuito coparador se puede realizar de fora secilla, co copuertas NAND, NOR e iversores, propagado la señal desde el bit eos sigificativo [DUG9]. El algorito, si el ódulo tiee u úero ipar de bits la salida se debe ivertir, es el siguiete, Figura 6, la salida de Registro se ivierte e las posicioes ipares. La copuerta correcta para cada posició, ver Tabla 36, queda deteriada por dos factores, priero si se trata de ua posició par o ipar y segudo si e esa posició es 0 o. i ipar i par ( ) i = 0 NAND NOR ( ) i = NOR NAND Tabla 36 Costrucció del circuito coparador. 6

129 Operacioes aritéticas ediate residuos Figura 6 Suador e ódulo co u úico suador biario y coparador. Figura 6 Circuito coparador para = 3. Adició e RNS ediate tablas de búsqueda 7

130 Operacioes aritéticas ediate residuos Esta fue ua de las prieras técicas epleadas e la sítesis de circuitos aritéticos e RNS [TAY84] [BAY87]. La arquitectura cosiste e el uso de ua eoria ROM grabada co la iforació ecesaria para realizar la adició de dos úeros. Este efoque es ás apropiado para su realizació e circuitos itegrados full custo que ediate lógica prograable, ás aú teiedo e cueta que, coo se vio e Sua e RNS ediate tablas de verdad, e la ayoría de los casos o es ecesario itegrar todas las cobiacioes posibles, co el cosiguiete ahorro de área e la costrucció de la eoria. U atractivo iteresate que preseta la realizació de ua ROM full custo es que se la puede segetar, colocado registros e las direccioes, a la salida de los decodificadores, e las etradas de los ultiplexores de salida y e las salidas, co el cosiguiete icreeto e la velocidad de fucioaieto. Si ebargo la costrucció de, por ejeplo, u suador e RNS ediate tablas de búsqueda co FPGA se puede realizar solaete e aquellas FPGAs que tiee bloques dedicados a fucioar coo eorias, deoiados EABs, e el caso de Altera. Aú e este caso sólo para ódulos pequeños, puesto que la eoria ecesaria para sitetizar la tabla de verdad crece de aera expoecial co el úero de bits a suar. Toado el caso de la EPF0K0RC40-3 se tiee 6 EABs, cada uo de los cuales tiee.048 bits de capacidad, y se puede cofigurar de algua de las siguietes aeras, ver Figura 63,: 56x8, 5x4,.04x o 048x bits. A partir de estas cofiguracioes básicas se puede costruir eorias co u ayor úero de palabras, co ás bits e cada dato o abas. Co esta FPGA e particular se puede sitetizar suadores co ódulos copredidos etre 3 y 3. E la Tabla 37 se uestra los ódulos co que se puede trabajar e ua sola EPF0K0RC40-3, idicado para cada caso el úero de líeas para el bus de direccioes, el de datos y el úero de EABs ecesarios. E todos los casos la frecuecia de fucioaieto es de 93,45 Mhz. Figura 63 Distitas cofiguracioes de u EAB para la FLEX EPF0K0RC40-3. Bits de Bits de EABs direccioes datos 3 4 [ ] 6 3 [ ] 8 4 [ ] Tabla 37 Módulos para los cuales se puede sitetizar los circuitos suadores por el étodo de tablas de búsqueda e ua EPF0K0RC

131 Operacioes aritéticas ediate residuos Técica híbrida E [BAY87] [GAR98b] se describe ua arquitectura que utiliza solo u suador biario pero que, a diferecia de los suadores de la Figura 60 y la Figura 6, realiza la operació e u úico ciclo de reloj. El diagraa de este circuito se puede ver e la Figura 64. Se eplea u suador biario que etrega la sua de los bits, acarreo icluido, y ua eoria ROM de + líeas de direccioes y de datos para corregir el resultado. La velocidad se puede ejorar segetado e S 3 toado, adeás, vetaja de la posibilidad de fucioaieto sicróico de los EABs e la failia FLEX. Este étodo se ipleetó sólo e la failia FLEX pues ésta es la que preseta bloques de eoria. La oeclatura para estos suadores es S_HIB_TB y S_HIB_TB_SEG para los casos si y co segetació. E la Tabla 38 se uestra el úero de EABs ecesarios para la costrucció de los circuitos suadores e ódulo. Coo se puede apreciar e ua sola EPF0K0RC40-3 se puede sitetizar circuitos suadores co ódulos copredidos etre 3 y 56. Figura 64 Suador híbrido Bits de Bits de EABs direccioes datos 3 3 [ ] 4 3 [ ] 5 4 [ ] 6 5 [ ] 7 6 [ ] 8 7 [ ] 9 8 Tabla 38 Núero de EABs ecesarios para la costrucció de suadores híbridos. 3 La segetació e S o es ecesaria puesto que, coo se aclaró oportuaete, las salidas y etradas de todos los circuitos siulados está registradas. 9

132 Operacioes aritéticas ediate residuos Arquitectura propuesta El suador híbrido tiee la desvetaja de que se puede ipleetar sólo e aquellas FPGAs que tiee bloques dedicados para fucioar coo eleetos de eoria. Se propoe realizar la etapa de correcció, e lugar de co ua tabla de búsqueda, co los eleetos cobiacioales que resulta de sitetizar su tabla de verdad, ver Figura 65. La vetaja, co respecto al suador costruido directaete ediate su tabla de verdad, es que se ecesita eos variables que e aquel. E efecto, si para represetar los suados se ecesita bits la tabla de verdad del circuito de correcció tedrá + variables y fucioes. Adeás esta arquitectura tabié se puede segetar. Para poder sitetizar los circuitos segú esta arquitectura se debe, para cada ódulo, siplificar la tabla de verdad del circuito de correcció, operació que se realizó ediate el prograa Espresso, a cotiuació las expresioes booleaas correspodietes se debe describir e VHDL segú la sitaxis de este leguaje. La otació epleada es S_HIB_PRO_TB y S_HIB_PRO_TP para el caso e dode o se eplea segetació y S_HIB_PRO_ TB_SEG y S_HIB_PRO_TP_SEG cuado si se utiliza esta técica. Figura 65 Arquitectura propuesta para el circuito suador. Suadores, estudio coparativo Se realizó u estudio coparativo de las arquitecturas de los suadores e aritética de residuos para ódulos 3 56 [GAO0] [GAO0]. E todos los casos, salvo e aquellos que requiere eleetos de eoria, se siularo tato para la failia MAX coo para la FLEX, los dispositivos epleados fuero el EPM760SLC84-6 para suas de térios producto y el EPF0K0RC40-3 para tablas de búsqueda. La descripció de las 0

133 Operacioes aritéticas ediate residuos distitas técicas para los suadores se realizó e VHDL y se siularo ediate el prograa Max + Plus II 9.. No se realizó la sítesis de los circuitos S_BIN_TP_A, S_BIN_TB_A, S_BIN_TP_B y S_BIN_TB_B, por tres razoes. E prier lugar, si bie utiliza u úico suador biario, se requiere tres ultiplexores e los tipos A y dos e los B, circuitos que eplea uchos recursos e lógica prograable. E segudo lugar, tal coo se los preseta, o se les puede aplicar la técica de segetació para acelerar el throughput, para lograrlo se debería icreetar el hardware ecesario. Fialete, e estos circuitos, para obteer el resultado fial se requiere de dos ciclos. E todos los casos se registra las etradas y salidas co el objeto de poder deteriar su frecuecia de fucioaieto áxia. Por ello el úero de celdas requeridas e cada caso será e realidad algo eor. Co los datos resultates se graficaro para cada caso frecuecia áxia de trabajo y el úero de celdas requeridas e fució del ódulo, ver Figura 66 a la Figura 85. Para poder realizar u estudio coparativo etre las distitas arquitecturas a cada curva se la ajustó por el étodo de íios cuadrados segú la fució que ejor la represeta. Ver Figura 86 a la Figura 89. E la Figura 86 se uestra la frecuecia de operació para las distitas técicas de adició sitetizadas ediate suas de productos, failia MAX. Coo se puede apreciar, tato para el caso coú coo para el segetado, e el étodo propuesto la frecuecia de fucioaieto es superior al resto de los circuitos descriptos e la bibliografía. Más aú, Figura 87, el úero de celdas ecesarias es eor. Para el caso de sítesis ediate tablas de búsqueda, failia FLEX, el ejor coportaieto, Figura 88, lo tiee la arquitectura realizada ediate suadores biarios y ultiplexor. No sólo es la ejor, adeás, para los ódulos señalados, su frecuecia de fucioaieto se atiee costate, si ebargo es la que preseta ayor costo e cuato a hardware, ver Figura 89. Le sigue el étodo propuesto e este trabajo, co segetació. Fialete se debe resaltar que el suador híbrido es el que eos celdas lógicas eplea, pero aquí o está cotabilizados los bloques de eoria EABs. Suador Frecuecia Núero de proedio celdas proedio S_BIN_TP 33,67 37,48 S_BIN_TB 66,6 30,5 S_BIN_TP_SEG 6,95 49,8 S_BIN_TB_SEG 5,00 44,50 S_HIB_TB 46,00,8 S_HIB_TB_SEG 64,4,7 S_HIB_PRO_TP 4,56 3,00 S_HIB_PRO_TB 58,97 4,9 S_HIB_PRO_TP_SEG 63,85 3,7 S_HIB_PRO_TB_SEG 78,6 4,87 Tabla 39 Estudio coparativo proedio para los distitos suadores.

134 Operacioes aritéticas ediate residuos E la Tabla 39 se preseta el coportaieto proedio de cada circuito suador, tato e su respuesta e frecuecia coo e el hardware ecesario para costruirlo. Los resultados coparativos se uestra de la Tabla 40 a la Tabla 43. Suador Frecuecia Mejora proedio porcetual S_HIB_PRO_TP_SEG 63,85 90% S_BIN_TP_SEG 6,95 84% S_HIB_PRO_TP 4,56 6% S_BIN_TP 33,67 Tabla 40 Mejora e frecuecia proedio para la failia MAX. Suador Núero de Costo e Celdas proedio hardware S_HIB_PRO_TP_SEG 3,7 64% S_HIB_PRO_TP 3,00 65% S_BIN_TP 37,48 76% S_BIN_TP_SEG 49,8 00% Tabla 4 Costo e hardware proedio para la failia MAX. Suador Frecuecia Mejora proedio porcetual S_BIN_TB_SEG 5,00 7% S_HIB_PRO_TB_SEG 78,6 70% S_BIN_TB 66,6 45% S_HIB_TB_SEG 64,4 40% S_HIB_PRO_TB 58,97 8% S_HIB_TB 46,00 Tabla 4 Mejora e frecuecia proedio para la failia FLEX. Suador Núero de Costo e Celdas proedio hardware S_HIB_TB_SEG,7 50% S_HIB_TB,8 50% S_BIN_TB 30,5 68% S_HIB_PRO_TB 4,9 93% S_HIB_PRO_TB_SEG 4,87 94% S_BIN_TB_SEG 44,50 00% Tabla 43 Costo e hardware proedio para la failia FLEX. Aalizado las vetajas proedio, e velocidad o e costo de hardware, de cada tipo de suador, se puede destacar las siguietes. De los resultados obteidos para los dispositivos de la failia MAX7000 se puede deducir que la opció propuesta es la ejor todas. Si ebargo, para los dispositivos de la failia FLEX0K, esta elecció o es ta directa puesto que la arquitectura que eplea suadores biarios y segetació posee la áxia velocidad auque es la que requiere ás recursos.

135 Operacioes aritéticas ediate residuos S_BIN_TP 55 Frecuecia [MHz] Módulo Figura 66 Frecuecia de operació para la sua e ódulo, ediate suadores biarios (S_BIN_TP) realizado ediate sua de productos Celdas S_BIN_TP Módulo Figura 67 Núero de celdas para la sua e ódulo, ediate suadores biarios (S_BIN_TP) realizado ediate sua de productos. 3

136 Operacioes aritéticas ediate residuos 00 S_BIN_TB 90 Frecuecia [MHz] Módulo Figura 68 Frecuecia de operació para la sua e ódulo, ediate suadores biarios (S_BIN_TB), y realizado ediate tablas de búsqueda Celdas S_BIN_TB M ódulo Figura 69 Núero de celdas para la sua e ódulo, ediate suadores biarios (S_BIN_TB), y realizado ediate tablas de búsqueda. 4

137 Operacioes aritéticas ediate residuos S_BIN_TP_SEG 90 Frecuecia [MHz] M ódulo Figura 70 Frecuecia de operació para la sua e ódulo, ediate suadores biarios co segetació (S_BIN_TP_SEG), y realizado ediate térios producto Celdas S_BIN_TP_SEG M ódulo Figura 7 Núero de celdas para la sua e ódulo, ediate suadores biarios co segetació (S_BIN_TP_SEG), y realizado ediate térios producto. 5

138 Operacioes aritéticas ediate residuos 35 S_BIN_TB_SEG Frecuecia [MHz] M ódulo Figura 7 Frecuecia de operació para la sua e ódulo, ediate suadores biarios co segetació (S_BIN_TB_SEG), y realizado ediate tablas de búsqueda Celdas S_BIN_TB_SEG M ódulo Figura 73 Núero de celdas para la sua e ódulo, ediate suadores biarios co segetació (S_BIN_TB_SEG), y realizado ediate tablas de búsqueda. 6

139 Operacioes aritéticas ediate residuos 54 S_HIB_TB 5 Frecuecia [MHz] M ódulo Figura 74 Frecuecia de operació para la sua e ódulo, ediate suadores híbridos (S_HIB_TB), y realizado ediate tablas de búsqueda Celdas S_HIB_TB M ódulo Figura 75 Núero de celdas para la sua e ódulo, ediate suadores híbridos (S_HIB_TB), y realizado ediate tablas de búsqueda. 7

140 Operacioes aritéticas ediate residuos 54 S_HIB_TB_SEG 5 Frecuecia [MHz] M ódulo Figura 76 Frecuecia de operació para la sua e ódulo, ediate suadores híbridos co segetació (S_HIB_TB_SEG), y realizado ediate tablas de búsqueda Celdas S_H IB_TB_SEG M ódulo Figura 77 Núero de celdas para la sua e ódulo, ediate suadores híbridos segetados (S_HIB_TB_SEG), y realizado ediate tablas de búsqueda. 8

141 Operacioes aritéticas ediate residuos S_HIB_PRO_TP Frecuecia [MHz] Módulo Figura 78 Frecuecia de operació para la sua e ódulo, ediate el suador híbrido propuesto (S_HIB_PRO_TP), y realizado ediate térios producto Celdas S_HIB_PRO _TP Módulo Figura 79 Núero de celdas para la sua e ódulo, ediate el suador híbrido propuesto (S_HIB_PRO_TP), y realizado ediate térios producto. 9

142 Operacioes aritéticas ediate residuos 40 S_HIB_PRO _TB 0 Frecuecia [MHz] M ódulo Figura 80 Frecuecia de operació para la sua e ódulo, ediate el suador híbrido propuesto (S_HIB_PRO_TB), y realizado ediate tablas de búsqueda Celdas S_HIB_PRO _TB Módulo Figura 8 Núero de celdas para la sua e ódulo, ediate el suador híbrido propuesto (S_HIB_PRO_TB), y realizado ediate tablas de búsqueda. 30

143 Operacioes aritéticas ediate residuos S_HIB_PRO _TP_SEG Frecuecia [MHz] M ódulo Figura 8 Frecuecia de operació para la sua e ódulo, ediate el suador híbrido propuesto co segetació (S_HIB_PRO_TP_SEG), y realizado ediate térios producto Celdas S_HIB_PRO _TP_SEG Módulo Figura 83 Núero de celdas para la sua e ódulo, ediate el suador híbrido propuesto co segetació (S_HIB_PRO_TP_SEG), y realizado ediate térios producto. 3

144 Operacioes aritéticas ediate residuos 40 0 Frecuecia [MHz] S_HIB_PRO _TB_SEG M ódulo Figura 84 Frecuecia de operació para la sua e ódulo, ediate el suador híbrido propuesto co segetació (S_HIB_PRO_TB_SEG), y realizado ediate tablas de búsqueda Celdas S_HIB_PRO _TB_SEG M ódulo Figura 85 Núero de celdas para la sua e ódulo, ediate el suador híbrido propuesto co segetació (S_HIB_PRO_TB_SEG), y realizado ediate tablas de búsqueda. 3

145 Operacioes aritéticas ediate residuos Frecuecia [MHz] S_HIB_PRO_TP_SEG S_BIN_TP_SEG S_HIB_PRO_TP S_BIN_TP Módulo Figura 86 Frecuecia de fucioaieto para los distitos circuitos suadores e fució del ódulo. Sítesis ediate térios producto. 55 S_BIN_TP_SEG 50 Celdas S_BIN_TP S_HIB_PRO_TP_SEG S_HIB_PRO_TP Módulo Figura 87 Núero de celdas requeridas para los distitos circuitos suadores e fució del ódulo. Sítesis ediate térios producto. 33

146 Operacioes aritéticas ediate residuos 0 S_BIN_TB_SEG Frecuecia [MHz] S_HIB_PRO_TB_SEG S_HIB_PRO_TB S_BIN_TB S_HIB_TB_SEG 40 S_HIB_TB Módulo Figura 88 Frecuecia de fucioaieto para los distitos circuitos suadores e fució del ódulo. Sítesis ediate tablas de búsqueda S_BIN_TB_SEG S_HIB_PRO_TB_SEG S_HIB_PRO_TB S_BIN_TB Celdas S_HIB_TB S_HIB_TB_SEG Módulo Figura 89 Núero de celdas requeridas para los distitos circuitos suadores e fució del ódulo. Sítesis ediate tablas de búsqueda. 34

147 Operacioes aritéticas ediate residuos RESTA EN RNS La resta e el RNS se puede realizar ediate cualquiera de los suadores descriptos e SUMA EN RNS si esta se realiza e copleeto al ódulo de la siguiete aera: a b = a b+ = a + ( b ) = a + ( b ) = a + ( b ) Es decir que cualquiera de los suadores vistos se puede covertir e u suadorrestador agregádole ua etapa que calcule el copleeto a del sustraedo. Cuado se desea suar, el segudo úero se opera si odificacioes. Por otra parte cualquiera de las estrategias vistas e SUMA EN RNS se puede eplear para costruir circuitos restadores. Por lo que es de esperar que la coplejidad y frecuecia de fucioaieto de estos circuitos sea igual o uy siilar a sus cotrapartes, razó por la cual o se realizaro las siulacioes correspodietes. MULTIPLICACIÓN EN RNS Multiplicació ediate tablas de verdad De la isa fora que se trabajó e Sua e RNS ediate tablas de verdad se puede realizar los circuitos ultiplicadores ediate sítesis directa de su tabla de verdad co u esquea igual al de la Figura 5. Las cosideracioes a teer e cueta so las isas que se explicaro e ese caso, por ejeplo la aparició de u gra úero de térios redudates que ayuda e la siplificació de las fucioes. Es decir que para evaluar p = a b se debe costruir ua tabla de verdad co variables y fucioes. Igual que e el caso de la sua de las cobiacioes posibles sólo iteresa de ellas, por lo que el úero total de térios redudates es: - Aquí aparece ua vetaja adicioal co respecto al producto e el sistea biario atural. Cuado se trabaja e biario la sua de dos úeros de bits da coo resultado áxio u úero de + bits, e tato que para la ultiplicació de los isos úeros el resultado puede ser de bits. De aera que si se iteta realizar u circuito ultiplicador ediate su sítesis directa por tabla de verdad el hardware requerido será ucho ayor que para la operació sua. Este o es el caso cuado se trabaja co el RNS puesto que tato la sua coo el producto de dos eteros de bits da por resultado u úero de bits, ver Tabla 44. Coo e el caso de la sua e RNS la siplificació de la operació producto se realizó ediate el étodo de Quie-McCluskey co iitérios ediate el prograa 35

148 Operacioes aritéticas ediate residuos Espresso, toado vetaja de la siplificació por ultifucioes. E la Tabla 45 se uestra el costo, e úero de trasistores NMOS, para la operació de ultiplicació para ódulos copredidos etre 3 y 49. E la Figura 90 se uestra el costo total e fució del ódulo. x y x y x y x y *** *** *** *** *** *** 00 0 *** 00 0 *** 0 0 *** *** 00 0 *** 00 0 *** 0 0 *** 000 *** 00 *** 00 *** 0 *** *** 000 *** *** 00 *** *** 00 *** *** 0 *** *** 00 *** 00 0 *** 0 0 *** 0 0 *** 0 *** 00 0 *** 0 0 *** 0 0 *** 0 *** 00 *** 0 *** 0 *** *** Tabla 44 Tabla de verdad para la ultiplicació e ódulo 5. ANDs ORs Total ANDs ORs Total ANDs Ors Total Tabla 45 Costo de la operació ultiplicació realizada ediate la siplificació directa de su tabla de verdad, segú iitérios. Se idica el úero de trasistores NMOS para realizar las copuertas ad, las or y el total. Al igual que e la sua la coplejidad crece de aera expoecial. Más aú, ver Figura 9, el úero de trasistores requeridos para realizar el producto crece a ayor velocidad que para el caso de la adició. Esto se debe a que e el caso del producto los iitérios aparece, e u diagraa de Veitch-Karaugh, ás separados que e el caso de la sua. Por esta razó se realizó el estudio para 3 8 e la costrucció de circuitos ultiplicadores ediate lógica prograable. 36

149 Operacioes aritéticas ediate residuos Estos circuitos, coo e el caso de la sua, tabié se ipleetaro e AHDL y e VHDL Costo Módulo Figura 90 Costo de la fució ultiplicació, segú el úero de trasistores NMOS ecesarios Multiplicador Suador 6000 Costo Módulo Figura 9 Coparació, edida e úero de trasistores NMOS, de costos etre el suador y el ultiplicador sitetizado ediate tablas de verdad. 37

150 Operacioes aritéticas ediate residuos La otació epleada para esta arquitectura es M_TV_TP y M_TV_TB segú se trate de su ipleetació ediate suas de productos o por tablas de búsqueda. Los resultados se uestra e la Tabla 36. Módulo VHDL EPM78SLC84-6 EPF0K0RC40-3 M_TV_TP M_TV_TB Período Frec. LC Período Frec. ( seg ) ( Mhzs) ( seg ) ( Mhzs) LC AHDL EPM78SLC84-6 EPF0K0RC40-3 M_TV_TP M_TV_TB Período Frec. LC Período Frec. ( seg ) ( Mhzs) ( seg ) ( Mhzs) LC 3 6,8 47,05 6 8,0 5,00 6 6,8 47,05 6 8,0 5, ,8 47,05 6 8,0 5,00 6 6,8 47,05 6 8,0 5, ,5 95,3 9 0,9 9,74 7 0,5 95,3 9 5,5 64, ,5 95,3 9,5 86,95 8 0,5 95,3 9 6,6 60,4 3 7, 8,96 0 0,5 95,3 9, 8,96 0 0,5 48, ,5 95,3 9, 8,64 5 0,5 95,3 9,5 44,44 4 9,3 8,30 0 9,7 50,76 5,3 8,30 7,0 37, ,3 8,30 0,9 45,66 60,3 8,30 9,7 33,67 0,3 8,30 7 3, 43,0 96,3 8,30 7 3,8 30,48 38,3 8,30 9,7 44,05 50,3 8,30 34, 9,3 44 3,3 8,30 3 9,7 33,67 38,3 8, ,5 5, ,3 8,30 7 5,5 39, 3,3 8, , 9,3 90 5, ,5 33,89 5,4 80, ,4 8,4 03 6, 8,96 7 0,4 49,0 4, 8, , 6,88 3 7,9 77,5 5 36,4 7,47 44, ,7 0, 379 8,7 78, , 3,5 40, ,0 9, Tabla 46 Período, frecuecia y úero de celdas lógicas e fució del ódulo para la ultiplicació ediate sítesis por tabla de verdad. Sitetizado ediate VHDL y AHDL. Se puede ver que la ipleetació directa de la ultiplicació e RNS puede ser útil, desde el puto de vista del costo e hardware para realizarlo, para ódulos pequeños, por ejeplo eores que 7. Estos resultados se puede visualizar ejor de aera gráfica. E la Figura 9 se uestra la variació de la frecuecia de operació e fució del ódulo, e tato que, e la Figura 93, la coplejidad del hardware requerido. Epleado leguaje VHDL. Al igual que e la sua e todos los casos la frecuecia de operació es ayor para la sítesis ediate suas de térios producto, aú tratádose de ua grade 6 para TP y de ua grade 3 para TB. Más aú, la coplejidad es tabié eor e el caso de la serie MAX que e el de la FLEX. La explicació para este coportaieto es la isa que la dada e el apartado Sua e RNS ediate tablas de verdad. Lo iso ocurre, ver la Figura 94 y la Figura 95, cuado se eplea el leguaje AHDL coo herraieta de descripció circuital. Nuevaete, coo e el caso de sua e RNS, se obtiee ejores resultados cuado se eplea el leguaje VHDL, previa siplificació ediate el prograa Espresso, que cuado se utiliza AHDL. 38

151 Operacioes aritéticas ediate residuos Térios producto Tablas de búsqueda 0 Frecuecia [MHz] Módulo Figura 9 Frecuecia de trabajo de la operació ultiplicació, sitetizada ediate tablas de verdad, e fució del ódulo. E VHDL Térios producto Tablas de búsqueda Celdas Módulo Figura 93 Núero de celdas para realizar la operació ultiplicació, ediate tablas de verdad, e fució del ódulo. E VHDL. 39

152 Operacioes aritéticas ediate residuos Térios producto Tabla de búsqueda 0 Frecuecia [MHz] Modulo Figura 94 Frecuecia de trabajo de la operació ultiplicació, sitetizada ediate tablas de verdad, e fució del ódulo. Sítesis ediate AHDL Térios producto Tablas de búsqueda 50 Celdas Módulo Figura 95 Núero de celdas para realizar la operació ultiplicació, ediate tablas de verdad, e fució del ódulo. Sítesis ediate AHDL. 40

153 Operacioes aritéticas ediate residuos Multiplicació por tablas de búsqueda El esquea de sítesis es idético al visto e Adició e RNS ediate tablas de búsqueda por lo que vale las isas cosideracioes que e ese caso. E la Tabla 47 se uestra los ódulos co que se puede trabajar e ua sola EPF0K0RC40-3, idicado para cada caso el úero de líeas para el bus de direccioes, el de datos y el úero de EABs ecesarios. E todos los casos la frecuecia de fucioaieto es de 93,45 Mhz. Bits de Bits de direccioes datos EABs 3 4 [ ] 6 3 [ ] 8 4 [ ] Tabla 47 Módulos para los cuales se puede sitetizar los circuitos ultiplicadores por el étodo de tablas de búsqueda e ua EPF0K0RC40-3. Multiplicador cuadrático Si se desea evitar ua ultiplicació directa etre los úeros a y b se puede eplear el siguiete procediieto [TAY8]: a b = a + b a b Ecuació 6 Multiplicador cuadrático. Así el producto es reeplazado por ua sua, ua resta, ua divisió por dos y dos cuadrados. La etapa que eleva al cuadrado tiee bits de etrada y de salida de aera que su ipleetació, por ejeplo ediate ua eoria ROM, requiere eos recursos que la ipleetació directa del producto. Si se trabaja e ódulo la Ecuació 6 se puede escribir coo: ab + ( s ) ( s ) = φ φ Ecuació 7 Multiplicador cuadrático e ódulo. dode φ(s) = s co s + = ( a + b ) / y s - = ( a - b ) /. Es iportate señalar que sólo se utiliza la parte etera de φ(s) descartádose la parte fraccioal. Por lo que la Ecuació 7 se trasfora e: Deostració: Sea: ab + φ ( s ) ( s ) = φ 4

154 Operacioes aritéticas ediate residuos z + φ ( s ) ( s ) = φ y a, b y k eteros. Se puede defiir dos úeros racioales: a + b k > v + y a b > k q + e dode k puede toar sólo los valores 0 o. Por lo tato: z = ( a + b) ( a b) 4 4 = v k + k v + 4 q k + k q + 4 = v + k v q + k q + k 4 k 4 + ( s ) ( s ) = φ φ por lo tato se debe suar o restar a y b elevar al cuadrado, descartar los dos bits eos sigificativos y toar ódulo. El esquea geeral del ultiplicador cuadrático co segetació se ilustra e la Figura 96. El cojuto Suador A, Suador B y MultiplexorA realiza la operació a b, los bloques Restador A, Suador C y MultiplexorB la resta a θ b; los circuitos RestadorB, SuadorD y MultiplexorC la resta e ódulo de los úeros que igresa a el. Los bloques que realiza las operacioes: Sua y Resta se puede realizar ediate ua tabla de búsqueda o ediate su sítesis de la tabla de verdad. Por lo que se tiee las siguietes variates: M_CUA_TV_TP, ultiplicador cuadrático ediate sítesis de tabla de verdad e la failia MAX, M_CUA_TV_TB, ultiplicador cuadrático ediate sítesis de tabla de verdad e la failia FLEX, M_CUA_ROM_TB, ultiplicador cuadrático co el epleo de ua eoria ROM e la failia FLEX, y M_CUA_TV_TP_SEG M_CUA_TV_TB_SEG M_CUA_ROM_TB_SEG 4

155 Operacioes aritéticas ediate residuos para el caso e que se eplea segetació. Figura 96 Multiplicador cuadrático co circuitos suadores y restadores e ódulo. La sítesis de las etapas que realiza la operació x e ódulo se puede realizar ediate tablas de verdad o por tablas de búsqueda. 43

156 Operacioes aritéticas ediate residuos Figura 97 Multiplicador cuadrático híbrido. Otra alterativa para costruir el ultiplicador cuadrático es eplear suadores híbridos, e lugar de suadores biarios, ver Figura 97. E este caso el bloque que realiza la correcció es el ecargado, adeás, de elevar al cuadrado, dividir por 4 y calcular el ódulo del resultado. La oeclatura es: M_CUA_HIB_TP, ultiplicador cuadrático híbrido co sítesis ediate térios producto, M_CUA_HIB_TB, ultiplicador cuadrático híbrido co sítesis ediate tablas de búsqueda, Y M_CUA_HIB_TP_SEG M_CUA_HIB_TB_SEG para los isos circuitos pero co segetació. 44

157 Operacioes aritéticas ediate residuos Multiplicador idexado E [TAY8] [TAY84] se explica el circuito epleado para realizar la ultiplicació e ódulo ediate Capos de Galois, deoiado Multiplicador Idexado o Multiplicador por Capos de Galois. La teoría de estos capos se vio e la secció CAMPOS DE GALOIS. Así el producto de dos úeros q j y q k e ódulo p se puede realizar coo la sua de sus ídices e ódulo p - : q j q k p = g i i j k p p e dode los i cuple co la codició: q = p g i p Por lo que la ultiplicació de dos úeros se puede realizar idexado, es decir ecotrar sus ídices, suarlos e ódulo p y fialete realizar la operació iversa a la idexació. El diagraa circuital del ultiplicador idexado se ilustra e la Figura 98, e dode si se desea auetar su throughput se puede segetar e los putos idicados 33. Se puede apreciar que la salida de los bloques de idexació puede etregar úeros de o bits. Por ejeplo si = 7, a y b está copredidos etre 0 y 6, = 5, por lo que la salida del idexador será u úero etre 0 y 5 co = 4. Si se eplea la failia FLEX el circuito se puede realizar ediate EABs, para la idexació y desidexació, u suador e ódulo, de cualquiera de los tipos vistos e SUMA EN RNS, ás u pequeño circuito para detectar si uo o abos operados es cero. La frecuecia de fucioaieto y el hardware ecesario para costruir este circuito se puede deteriar de los resultados de las siulacioes realizadas e la secció ecioada. Ua alterativa al epleo de eoria ROM coo eleeto de idexació y desidexació es el realizar esta tarea ediate la sítesis de la tabla de verdad de la coversió deseada. El esquea es el de la Figura 98, co los bloques de idexació y desidexació realizados ediate lógica cobiacioal. Para poer u líite a todas las alterativas posibles se trabajó sólo co el suador e ódulo costruido co suadores biarios. La otació es la siguiete: M_INX_TV_TP, ultiplicador idexado epleado tablas de verdad y sitetizado ediate térios producto, M_INX_TV_TB, ultiplicador idexado epleado tablas de verdad y sitetizado ediate tablas de búsqueda, y M_INX_TV_TP_SEG, M_INX_TV_TB_SEG, para el caso segetado. 33 A odo ilustrativo e la figura se eplea u suador e ódulo realizado ediate suadores biarios, si ebargo el suador puede ser cualquiera de los tipos vistos. 45

158 Operacioes aritéticas ediate residuos Figura 98 Multiplicador idexado. 46

159 Operacioes aritéticas ediate residuos Multiplicadores, estudio coparativo E la Tabla 48 se uestra la frecuecia de trabajo y el úero de celdas proedio para cada tipo de ultiplicador. El proedio se realiza sólo sobre los ódulos de cuya realizació circuital se puede obteer datos, coo se puede ver e las figuras de cada ultiplicador. Por ejeplo, e alguos casos o se puede calcular la frecuecia porque el circuito o etra e ua úica FPGA, pero si el úero total de celdas requeridas (co ás de ua FPGA). Multiplicador Frecuecia Núero de proedio celdas proedio M_CUA_TV_TP 3,36 6 M_CUA_TV_TB 9, M_CUA_ROM_TB,69 6,4 34 M_CUA_TV_TP_SEG 64,33 98 M_CUA_TV_TB_SEG 4,3 485 M_CUA_ROM_TB_SEG 93,40 57,44 35 M_CUA_HIB_TP 9,43 67,35 M_CUA_HIB_TB 3,0 50,58 M_CUA_HIB_TP_SEG 3,6 85,43 M_CUA_HIB_TB_SEG 3,07 496,5 M_INX_TV_TP 8,5 7,7 M_INX_TV_TB 8,48 49,7 M_INX_TV_TP_SEG 69,34 90,8 M_INX_TV_TB_SEG 59,63 6,77 Tabla 48 Estudio coparativo proedio para los distitos ultiplicadores. Multiplicador Frecuecia Mejora proedio porcetual M_INX_TV_TP_SEG 69,34 49,0% M_CUA_TV_TP_SEG 64,33 38,5% M_CUA_HIB_TP_SEG 3,6 33,3% M_INX_TV_TP 8,5,70% M_CUA_HIB_TP 9,43 45,43% M_CUA_TV_TP 3,36 Tabla 49 Mejora e frecuecia proedio para la failia MAX. 34 Más EABs. 35 Más EABs. Multiplicador Núero de Costo e celdas proedio hardware M_INX_TV_TP_SEG 90,8 4,86% M_INX_TV_TP 7,7 8,0% M_CUA_TV_TP_SEG 98 3,4% M_CUA_HIB_TP 67,35 43,77% M_CUA_HIB_TP_SEG 85,43 46,7% M_CUA_TV_TP 6 00 Tabla 50 Costo e hardware proedio para la failia MAX. 47

160 Operacioes aritéticas ediate residuos Multiplicador Frecuecia Mejora proedio porcetual M_CUA_ROM_TB_SEG 93,40 367,94% M_INX_TV_TB_SEG 59,63 98,75% M_CUA_TV_TB_SEG 4,3 06,56% M_CUA_HIB_TB_SEG 3,07 60,67% M_INX_TV_TB 8,48 4,68% M_CUA_HIB_TB 3,0 6,3% M_CUA_ROM_TB,69 3,68% M_CUA_TV_TB 9,96 Tabla 5 Mejora e frecuecia proedio para la failia FLEX. Multiplicador Núero de Costo e celdas proedio hardware M_CUA_ROM_TB_SEG 57,44,45% M_CUA_ROM_TB 6,4,44% M_INX_TV_TB 49,7 49,7% M_INX_TV_TB_SEG 6,77 5,39% M_CUA_TV_TB ,9% M_CUA_TV_TB_SEG ,69% M_CUA_HIB_TB_SEG 496,5 98,94% M_CUA_HIB_TB 50,58 00 Tabla 5 Costo e hardware proedio para la failia FLEX. Del aálisis de la Tabla 48 a la Tabla 5 se desprede las siguietes coclusioes: Tal coo e el caso de los circuitos suadores el coportaieto de las FPGAs que eplea térios productos coo eleeto de sítesis digital tiee u coportaieto superior a aquellas realizadas co tablas de búsqueda, aú cuado las prieras so speed grade -6 y las segudas -3. De la Tabla 48 se desprede que los isos circuitos realizados co MAX o FLEX tiee aproxiadaete el iso throughput pero que los sitetizados ediate térios producto requiere eos celdas. Para la failia MAX el ejor coportaieto e velocidad y e costo lo tiee el circuito M_INX_TV_TP_SEG. Para la failia FLEX la elecció se vuelca de aera clara a favor del M_CUA_ROM_TB_SEG cuado se utiliza los EABs y segetació. Cuado o se eplea eleetos de eoria y o se segeta el ejor coportaieto lo tiee el ultiplicador M_INX_TV_TB. 48

161 Operacioes aritéticas ediate residuos 30 M_CUA_TV_TP 5 Frecuecia [MHz] Módulo Figura 99 Frecuecia de operació para el ultiplicador cuadrático ediate sítesis de la tabla de verdad, realizado ediate térios producto (M_CUA_TV_TP) M_CUA_TV_TP 500 Celdas Módulo Figura 00 Núero de celdas para el ultiplicador cuadrático ediate sítesis de la tabla de verdad, realizado ediate térios producto (M_CUA_TV_TP). 49

162 Operacioes aritéticas ediate residuos Frecuecia [MHz] M_CUA_TV_TB Módulo Figura 0 Frecuecia de operació para el ultiplicador cuadrático ediate sítesis de la tabla de verdad, realizado ediate tablas de búsqueda (M_CUA_TV_TB) M_CUA_TV_TB 600 Celdas Módulo Figura 0 Núero de celdas del ultiplicador cuadrático ediate sítesis de la tabla de verdad, realizado ediate tablas de búsqueda (M_CUA_TV_TB). 50

163 Operacioes aritéticas ediate residuos Frecuecia [MHz] M_CUA_ROM_TB Modulo Figura 03 Frecuecia de operació para el ultiplicador cuadrático co el epleo de ua eoria ROM, sitetizado ediate tablas de búsqueda, (M_CUA_ROM_TB) Celdas M_CUA_ROM_TB 0 0 Más EABs Módulo Figura 04 Núero de celdas para el ultiplicador cuadrático co el epleo de ua eoria ROM, sitetizado ediate tablas de búsqueda, (M_CUA_ROM_TB). 5

164 Operacioes aritéticas ediate residuos Frecuecia [MHz] M_CUA_TV_TP_SEG Módulo Figura 05 Frecuecia de operació para el ultiplicador cuadrático ediate sítesis de la tabla de verdad, realizado ediate térios producto y segetació (M_CUA_TV_TP_SEG) Celdas M_CUA_TV_TP_SEG Módulo Figura 06 Núero de celdas para el ultiplicador cuadrático ediate sítesis de la tabla de verdad, realizado ediate térios producto y segetació (M_CUA_TV_TP_SEG). 5

165 Operacioes aritéticas ediate residuos 40 Frecuecia [MHz] M_CUA_TV_TB_SEG Módulo Figura 07 Frecuecia de operació para el ultiplicador cuadrático ediate sítesis de la tabla de verdad, realizado ediate tablas de búsqueda y segetació (M_CUA_TV_TB_SEG) Celdas M_CUA_TV_TB_SEG Módulo Figura 08 Núero de celdas del ultiplicador cuadrático ediate sítesis de la tabla de verdad, realizado ediate tablas de búsqueda y segetació (M_CUA_TV_TB_SEG). 53

166 Operacioes aritéticas ediate residuos Frecuecia [MHz] M_CUA_ROM_TB_SEG Módulo Figura 09 Frecuecia de operació para el ultiplicador cuadrático co el epleo de ua eoria ROM, sitetizado ediate tablas de búsqueda y segetació (M_CUA_ROM_TB_SEG) Celdas M_CUA_ROM_TB_SEG 0 0 Más EABs Módulo Figura 0 Núero de celdas para el ultiplicador cuadrático co el epleo de ua eoria ROM, sitetizado ediate tablas de búsqueda y segetació (M_CUA_ROM_TB_SEG). 54

167 Operacioes aritéticas ediate residuos M_CUA_HIB_TP Frecuecia [MHz] Módulo Figura Frecuecia de operació para el ultiplicador cuadrático híbrido co sítesis ediate térios producto (M_CUA_HIB_TP) M_CUA_HIB_TP 000 Celdas Módulo Figura Núero de celdas para el ultiplicador cuadrático híbrido co sítesis ediate térios producto (M_CUA_HIB_TP). 55

168 Operacioes aritéticas ediate residuos M_CUA_HIB_TB Frecuecia [MHz] Módulo Figura 3 Frecuecia de operació para el ultiplicador cuadrático híbrido co sítesis ediate tablas de búsqueda (M_CUA_HIB_TB) M_CUA_HIB_TB 800 Celdas Módulo Figura 4 Núero de celdas para el ultiplicador cuadrático híbrido co sítesis ediate tablas de búsqueda (M_CUA_HIB_TB). 56

169 Operacioes aritéticas ediate residuos 00 Frecuecia [MHz] M_CUA_HIB_TP_SEG Módulo Figura 5 Frecuecia de operació para el ultiplicador cuadrático híbrido co sítesis ediate térios producto y segetació (M_CUA_HIB_TP_SEG) M_CUA_HIB_TP_SEG Celdas Módulo Figura 6 Núero de celdas para el ultiplicador cuadrático híbrido co sítesis ediate térios producto y segetació (M_CUA_HIB_TP_SEG). 57

170 Operacioes aritéticas ediate residuos 40 0 M_CUA_HIB_TB_SEG Frecuecia [MHz] Módulo Figura 7 Frecuecia de operació para el ultiplicador cuadrático híbrido co sítesis ediate tablas de búsqueda y segetació (M_CUA_HIB_TB_SEG) M_CUA_HIB_TB_SEG 800 Celdas Módulo Figura 8 Núero de celdas para el ultiplicador cuadrático híbrido co sítesis ediate tablas de búsqueda y segetació (M_CUA_HIB_TB_SEG). 58

171 Operacioes aritéticas ediate residuos 80 M_INX_TV_TP Frecuecia [MHz] Módulo Figura 9 Frecuecia de operació para el ultiplicador idexado epleado tablas de verdad y sitetizado ediate térios producto (M_INX_TV_TP) M_INX_TV_TP 50 Celdas Módulo Figura 0 Núero de celdas para el ultiplicador idexado epleado tablas de verdad y sitetizado ediate térios producto (M_INX_TV_TP). 59

172 Operacioes aritéticas ediate residuos 40 0 M_INX_TV_TB Frecuecia [MHz] Módulo Figura Frecuecia de operació para el ultiplicador idexado epleado tablas de verdad y sitetizado ediate tablas de búsqueda (M_INX_TV_TB) M_INX_TV_TB 400 Celdas Módulo Figura Núero de celdas para el ultiplicador idexado epleado tablas de verdad y sitetizado ediate tablas de búsqueda (M_INX_TV_TB). 60

173 Operacioes aritéticas ediate residuos M_INX_TV_TP_SEG Frecuecia [MHz] Módulo Figura 3 Frecuecia de operació para el ultiplicador idexado epleado tablas de verdad, sitetizado ediate térios producto y segetació (M_INX_TV_TB_SEG) M_INX_TV_TP_SEG 0 00 Celdas Módulo Figura 4 Núero de celdas para el ultiplicador idexado epleado tablas de verdad, sitetizado ediate térios producto y segetació (M_INX_TV_TB_SEG). 6

174 Operacioes aritéticas ediate residuos 40 Frecuecia [MHz] M_INX_TV_TB_SEG Módulo Figura 5 Frecuecia de operació para el ultiplicador idexado epleado tablas de verdad, sitetizado ediate tablas de búsqueda y segetació (M_INX_TV_TB_SEG) M_INX_TV_TB_SEG 500 Celdas Módulo Figura 6 Núero de celdas el ultiplicador idexado epleado tablas de verdad, sitetizado ediate tablas de búsqueda y segetació (M_INX_TV_TB_SEG). 6

175 Operacioes aritéticas ediate residuos DIVISIÓN EN RNS E [GAR99] [CAR89] [DRA9] [CHI9] [LUM9] [HIT95] [HIA95] [HIA97] [HUS98] y [REJ0] se preseta distitos algoritos de divisió. Para ostrar la coplejidad de la divisió e el RNS se ilustra la solució plateada e [HIT95]. E este trabajo se propoe el epleo del sistea de residuos extedido, e el que el cojuto de ódulos, relativaete prios y euerados de eor a ayor, es = {, }. Este cojuto de ódulos se puede dividir e dos subcojutos, cada uo de ellos de eleetos, de la siguiete aera: y =, = 3, = - + =, + = 4, = E este sistea el cojuto de los prieros residuos recibe el obre de RNS base y el resto el de RNS extedido. Los ragos diáicos de cada cojuto so: M b = i = i y M e = i = + i co M = M b M e el rago diáico total del sistea. De aera que u etero 0 X (M b )( M e ) co residuos x i = X od i e el RNS extedido queda deteriado por el cojuto: {x, x ; x +, x } E el RNS extedido se deuestra los siguietes putos de iterés: M b y M e so relativaete prios, co M b < M e. Existe el ultiplicativo iverso de M b e ódulo M e, por ser relativaete prios y la parte base e la represetació de X e el RNS extedido es el residuo ódulo M b. Para grade y i de valores siilares la diferecia relativa etre M b y M e es pequeña. De lo cotrario se altera el orde de los ódulos para lograrlo, pero si roper la relació M b < M e. El producto de dos úeros detro del rago diáico M b e el RNS extedido uca produce desbordaieto puesto que M b < M b M e y el resultado se puede llevar al rago iicial escalado por M b. Dados dos eteros X e Y, co 0 X < M b y Y < M b para calcular el cociete etero (Q) y el resto (R) de X/Y el algorito a seguir es el siguiete: algorito DIVRES etradas(x, Y) salidas(q, R) coiezo 63

176 Operacioes aritéticas ediate residuos fi Q = X. RECIP(Y)/M R = X Q. Y si R < Y etoces devuelve(q, R) e otro caso devuelve(q +, R - Y) fi RECIP es la rutia que calcula M/Y ediate el algorito de Newto. algorito RECIP etrada(y) salidas( M/Y ) coiezo Z = 0 Z = ietras Z Z { Z = Z Z = Z. (M Y. Z ) / M } si M Y. Z < Y etoces devuelve(z ) e otro caso devuelve(z + ) fi fi Ejeplo: X = 90, Y = 30 y M = 57. El algorito RECIP devuelve 8 ( 57 / 30 ); Q = / 57 = ; R = = 30; R < Y? o; devuelve( 3, 0). Se deuestra que este algorito es correcto y que se puede realizar sobre el RNS extedido co datos de etrada e el RNS base, epleado el RNS extedido para evitar el posible overflow. Se presetó este algorito para ostrar la coplejidad de la operació de divisió e el RNS, debida al hecho que ésta iplica operacioes de sua, coparació y ultiplicacioes durate u úero descoocido, e pricipio, de iteracioes. Por lo que el RNS se cetra e aquellos procesos que o requiere la operació divisió, e últia istacia, coo es el caso de u filtro Savitzky-Golay por ejeplo, se realiza todas las operacioes e el RNS, se covierte al sistea biario y e el se efectúa el cociete fial. Por lo expuesto y porque o se ecesita de la operació divisió e la aplicació del RNS propuesta e esta tesis, cual es la de geerar series de úeros aleatorios, o se realizaro siulacioes coo las hechas para las otras operacioes aritéticas vistas e este capítulo. 64

177 Operacioes aritéticas ediate residuos COMPARACIÓN Y DETECCIÓN DE REVASAMIENTO Por la isas razoes que las expuestas e el apartado DIVISIÓN EN RNS o se realizaro las siulacioes correspodietes a estas operacioes. CONCLUSIONES E el presete capítulo se presetó el resultado de aproxiadaete siulacioes de distitos circuitos suadores y ultiplicadores, para ódulos copredidos etre 3 y 55. El objetivo es, dado u deteriado problea, deteriar co rapidez la ejor aera de ipleetarlo, es decir elegir aquellos circuitos co las ejores prestacioes para sistea a desarrollar. Para ello se cueta co el costo, velocidad de fucioaieto y descripció VHDL de cada circuito descripto. E geeral, para cada caso, o fue suficiete co cabiar u paráetro y siular, sio que se debiero deteriar las ecuacioes booleaas siplificadas e dos iveles correspodietes, distitas para cada ódulo y circuito. El esfuerzo que deadó esta tarea fue uy grade, si ebargo los resultados sirve para futuros trabajos. Si se trabaja co ua FPGA distita de las propuestas los resultados de este capítulo se puede extrapolar a las isa o e todo caso resiular la descripció VHDL para el dispositivo propuesto. Tarea secilla, puesto que e este caso se trata sólo de ua siulació, puesto que el trabajo ás laborioso, su descripció, ya está hecho. Fialete, para dar ua idea del trabajo que deadó la realizació de estas siulacioes, se preseta la descripció VHDL del suador S_HIB_PRO_TB para = 03, ótese que para cada ódulo se debe ecotrar el cojuto de ecuacioes booleaas correspodiete. library ieee; use ieee.std_logic_64.all; use ieee.std_logic_arith.all; use ieee.std_logic_usiged.all; etity s_hc_03 is geeric ( : positive :=7 ); -- Núero de bits de los suados port( A : i std_logic_vector( - dowto 0 ); B : i std_logic_vector( dowto 0 ); Reloj : i std_logic; Sua : out std_logic_vector( dowto 0) ); ed s_hc_03; -- Prier suado Segudo suado Resultado 65

178 Operacioes aritéticas ediate residuos architecture ROM of s_hc_03 is sigal AA : std_logic_vector( dowto 0 ); sigal BB : std_logic_vector( dowto 0 ); sigal v : std_logic_vector( dowto 0 ); Salida del suador sigal vs : std_logic_vector( dowto 0 ); Salida del corrector begi process ( Reloj ) begi if Reloj'evet ad Reloj = '' the AA( dowto 0 ) <= A( dowto 0 ); BB( dowto 0 ) <= B( dowto 0 ); Sua( dowto 0 ) <= vs( dowto 0 ); ed if; ed process; v <= AA + BB; vs(6) <= ( ( ot( v(7) ) ) ad v(6) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v() ) ) ad v() ad v(0) ) or ( ( ot( v(7) ) ) ad v(6) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v() ) ) ad v(0) ) or ( v(6) ad ( ot( v(4) ) ) ad ot v(3) ad ( ot( v(0) ) )) or ( v(7) ad v(5) ad v() ad v() ad v(0) ) or ( v(7) ad v(5) ad v(3) ) or ( v(7) ad v(5) ad v(4) ) or ( v(6) ad ( ot( v(5) ))); vs(5) <= ( v(7) ad ( ot( v(5) ) ) ad v() ad v() ad v(0) ) or ( v(5) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v() ) )) or ( v(5) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v() ) )) or ( ( ot( v(7) ) ) ad ot v(6) ad v(5) ad v() ) or ( v(5) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v(0) ) )) or ( ( ot( v(7) ) ) ad ( ot( v(6) ) ) ad v(5) ad v(4) ) or ( ( ot( v(7) ) ) ad ( ot( v(6) ) ) ad v(5) ad v(3) ) or ( v(7) ad ( ot( v(5) ) ) ad v(3) ) or ( v(7) ad ( ot( v(5) ) ) ad v(4)); vs(4) <= ( v(7) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v() ) )) or ( v(7) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v() ) )) or ( v(7) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v(0) ) )) or ( v(4) ad v() ad v() ad v(0) ) or ( ( ot( v(7) ) ) ad ( ot( v(6) ) ) ad v(5) ad v(4) ) or ( ( ot( v(7) ) ) ad ( ot( v(5) ) ) ad v(4) ) or ( v(4) ad v(3)); vs(3) <= ( v(6) ad v(5) ad v(4) ad ( ot( v(3) ) ) ad ( ot( v() ) ) ad v() ad v(0) ) or ( v(6) ad v(5) ad v(4) ad ( ot( v(3) ) ) ad ( ot( v() ) ) ad v(0) ) or ( v(6) ad v(5) ad v(4) ad ot v(3) ad ( ot( v(0) ) )) or ( v(7) ad ( ot( v(3) ) ) ad ( ot( v() ) )) or ( v(3) ad v() ad v() ad v(0) ) or ( ( ot( v(7) ) ) ad ( ot( v(6) ) ) ad v(5) ad v(3) ) or ( v(7) ad ( ot( v(3) ) ) ad ( ot( v() ) )) or ( ( ot( v(7) ) ) ad ( ot( v(5) ) ) ad v(3) ) or ( v(7) ad ( ot( v(3) ) ) ad ( ot( v(0) ))); vs() <= ( v(6) ad v(5) ad v(4) ad ( ot( v(3) ) ) ad ( ot( v() ) ) ad v() ad v(0) ) or ( v(6) ad v(5) ad v(3) ad ( ot( v() ) ) ad v() ad v(0) ) or ( ( ot( v(7) ) ) ad ( ot( v(5) ) ) ad v() ) or ( ( ot( v(7) ) ) ad ( ot( v(6) ) ) ad v(5) ad v() ) or ( v(7) ad ( ot( v() ) ) ad v() ad v(0) ) or ( v() ad ( ot( v() ) )) or ( v() ad ( ot( v(0) ))); vs() <= ( ( ot( v(7) ) ) ad v(6) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v() ) ) ad v() ad v(0) ) or ( v(6) ad v(5) ad v(4) ad ( ot( v(3) ) ) ad ( ot( v() ) ) ad v(0) ) or ( v(6) ad v(5) ad v(3) ad ot v() ad v(0) ) or ( ( ot( v(7) ) ) ad ( ot( v(6) ) ) ad v() ) or ( ( ot( v(7) ) ) ad ( ot( v(5) ) ) ad v() ) or ( v(7) ad ( ot( v() ) ) ad v(0) ) or ( v() ad ( ot( v(0) ))); 66

179 Operacioes aritéticas ediate residuos vs(0) <= ( ( ot( v(7) ) ) ad v(6) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v() ) ) ad v() ad v(0) ) or ( ( ot( v(7) ) ) ad v(6) ad ( ot( v(4) ) ) ad ( ot( v(3) ) ) ad ( ot( v() ) ) ad v(0) ) or ( v(6) ad v(5) ad v(4) ad ( ot( v(3) ) ) ad ( ot( v(0) ) )) or ( v(6) ad v(5) ad v(3) ad ( ot( v(0) ) )) or ( ( ot( v(7) ) ) ad ( ot( v(6) ) ) ad v(0) ) or ( ( ot( v(7) ) ) ad ( ot( v(5) ) ) ad v(0) ) or ( v(7) ad ( ot( v(0) ))); ed ROM; 67

180 Geeradores pseudoaleatorios ediate el RNS Geeradores Pseuoaletorios Mediate el RNS 4 Co el obre de Eiga se deoió a ua serie de áquias diseñadas para ecriptar y desecriptar esajes. Era ua failia de áquias electroecáicas, forada básicaete por ua serie de rotores, u teclado ecáico y u pael co luces idicadoras Uo de los prieros odelos de este sistea se coercializó aproxiadaete desde el año 90. Más aú, fue adoptada por distitos servicios secretos de distitos países y sus fuerzas aradas. El caso ás coocido es el del odelo Wehracht Eiga, epleado por el ejercito aleá coo eleeto de cifradodescifrado de esajes durate la seguda guerra udial. Los Aliados, basádose e trabajos previos (93) de los criptógrafos polacos Maria Rejewski, Jerzy Różycki y Heryk Zygalski, ua áquia capturada, esajes que repetía básicaete lo iso todos los días (estació eteorológica e Noruega) y la obteció de u libro de claves lograro develar el algorito de ecriptado-desecriptado. Segú alguos autores el coociieto de los edios de cifrado de las fuerzas aradas aleaas peritió reducir la duració de la guerra e dos años. 68

181 Geeradores pseudoaleatorios ediate el RNS CAPÍTULO 4. GENERADORES PSEUDOALEATORIOS MEDIANTE EL SISTEMA NUMÉRICO DE RESIDUOS E este capítulo se propoe ua ueva aplicació del Sistea Nuérico de Residuos, su epleo para la geeració de secuecias pseudoaleatorias. Se coieza co la presetació y defiicioes de las deoiadas series pseudoaletorias, sus diferetes aplicacioes y los pricipales estudios y esayos que se realiza para deteriar si ua secuecia de úeros deteriada es aleatoria. Se cotiúa co la presetació de distitos circuitos propuestos e el RNS para la geeració de secuecias pseudoaleatorias. A cotiuació se le realiza los test de aleatoriedad a cada circuito propuesto. Fialete se ejeplifica de aera cualitativa ua posible aplicació, cual es la de ecriptado. SERIES DE NÚMEROS ALEATORIOS Se puede afirar que todo sistea se puede clasificar coo deteriista, aleatorio o caótico. E los sisteas deteriistas se puede precisar cualquiera de sus futuros estados coociedo su estado presete, el azar o está ivolucrado e igua de sus variables o iteraccioes etre ellas. Por el cotrario e los sisteas aleatorios e azar es el copoete esecial [GON03] [GON04] [GON04b] [LAR06]. Tal es así, que o se puede deteriar la evolució del iso, i siquiera su próxio estado, coociedo co ua precisió iliitada su salida actual o las ateriores, o se puede ecotrar igú tipo de patró o regularidad. E la década de 960 se coieza a hablar, y posteriorete a foralizar, u tipo de sisteas e los cuales, a pesar de estar descriptos por ecuacioes deteriistas bie coocidas, su sesibilidad a las codicioes iiciales o a la precisió del istrueto de cálculo que se esté epleado hace que su evolució futura, e orbitas que o se superpoe, sea ipredecible. Este últio tipo es u caso iteredio etre los dos prieros, los sisteas que preseta este coportaieto se deoia caóticos [GON0] [GON0a] [GON04a] [GON05] [GON05a] [GON05b] [ZAB08] [ROS07]. E esta tesis se preseta ua serie de circuitos, o algoritos, que tiee la particularidad de geerar secuecias de úeros que perteece al segudo tipo, es decir sisteas estocásticos. Aplicacioes, úeros aleatorios y pseudoaleatorios 69

182 Geeradores pseudoaleatorios ediate el RNS Los úeros aleatorios se eplea e las ás variadas áreas del coociieto. Así ecuetra aplicacioes, por ejeplo, e igeiería, ecooía, estadística, criptografía, ciecias de la atósfera, eteorología, recreació, etc. La fuete de geeració de úeros aleatorios puede ser de tipo atural, es decir aquellos que se basa e algú feóeo físico, coo la tesió de ruido e bores de u resistor, o coputacioales. E estos últios se utiliza u algorito que cotiuaete etrega ua secuecia de úeros etre los cuales o es posible deteriar igú tipo de coportaieto previsible. E el prier caso la secuecia de úeros tiee periodo ifiito, e el segudo, dado que el úero de estados e que se puede ecotrar el sistea es fiito, su periodo tabié lo será, auque este puede ser extreadaete grade. Por esta razó se los llaa geeradores de úeros pseudoaleatorios. Si bie el prier tipo tiee la vetaja que su periodo es ifiito tiee la cotrapartida de su coplejidad de ipleetació, de que sus características puede variar co el evejeciieto de los copoetes, co la teperatura, u otros factores. Los algoritos para la geeració de úeros pseudoaleatorios so ás secillos de ipleetar y, por sus características, o depede de igua variable física y peraece ialterados e el tiepo. Test de aleatoriedad E prier lugar se busca que el geerador bajo estudio, e geeral, presete ua distribució de probabilidad uifore, pues a partir de ella se puede llegar a cualquier otro tipo de distribució. Esto se logra aplicado a la serie obteida la fució de distribució iversa de la deseada. Ahora bie, el que la distribució sea uifore o garatiza que o exista u patró e la secuecia. Por ejeplo, la serie 0,,, 7, 0,,, 7, tiee distribució uifore pero existe e ella u coportaieto de predictibilidad que la hace o estocástica. De aera que la secuecia obteida, para ser cosiderada aleatoria, tiee que pasar ua serie de tests pesados especialete co este propósito [GON04]. Al seguir este caio se está razoado de aera iductiva y o deductiva, por lo que los resultados o garatiza de aera cocluyete que el geerador e estudio sea pseudoaleatorio, pero cuatos ás test supera tedreos u ayor úero de eleetos para dar credibilidad a uestra hipótesis. Correlació o autocorrelació La correlació etre dos señales es ua edida de la iterdepedecia etre las isas. Se dice que dos variables aleatorias está correlacioadas, es decir que existe ua relació lieal etre ellas, cuado los valores de ua de ellas varía de aera sisteática co respecto a la otra. Por ejeplo, si se tiee dos series, x e y, existe correlació si al auetar los valores de x tabié lo hace los de y o viceversa. La autocorrelació se defie coo la correlació de ua señal co si isa. Es ua edida de cuato el valor de la señal e el istate t es capaz de iflueciar a sus valores vecios. Para la señal discreta x co valor edio y desviació stadard σ se defie la autocorrelació coo: 70

183 R ( k) E = [( x )( x ) ] i σ i + k Geeradores pseudoaleatorios ediate el RNS dode E es la esperaza ateática o el valor esperado y k el desplazaieto teporal. El valor de R está copredido e el rago [, ]. E ua serie co correlació perfecta la señal se superpoe exactaete luego de u desplazaieto k y será R =. E tato que R = idica aticorrelació perfecta. R = 0 idica la ausecia total de correlació, es decir que u valor e u istate deteriado o afecta e igua edida a sus vecios. Si la señal es aleatoria su autocorrelació tedrá u valor igual a cero. Espectro y ruido blaco Se deoia ruido blaco a ua señal aleatoria que se caracteriza porque dos valores cualquiera de ella o guarda igú tipo de relació. La autocorrelació de este tipo de señales es ua fució delta. Su espectro es u valor costate, esto sigifica que la señal cotiee a todas las frecuecias y co igual itesidad. Dado que el espectro se calcula sobre u úero fiito de putos de la serie que se está estudiado y que su periodo es fiito, el espectro o será ua costate sio que tedrá pequeñas variacioes alrededor de su valor edio. Cuato ejor sea el geerador de úeros aleatorios eores será estos apartaietos. Etropía y catidad de iforació Si se tiee la serie aleatoria x co N estados posibles {x, x, x 3, x N } cada uo de los cuales tiee ua probabilidad {p, p, p 3, p N } co la codició: N i = p i = p i 0 i es posible ecotrar ua fora de edir la catidad de iforació segú lo euciado por Shao [LOP95]. La idea de Shao fue relacioar la catidad de iforació de u suceso co su probabilidad de ocurrecia. Aquellos sucesos que tiee ua alta probabilidad de ocurrir o so relevates e cuato a la iforació que posee. Por ejeplo decir que añaa o lloverá e el Desierto de Atacaa prácticaete o os aporta igú coociieto uevo pues las precipitacioes e ese lugar so poco coues. Por el cotrario, si se sabe que ha llovido y de aera torrecial e esa regió, es u coociieto que os aporta ucha iforació, pues se trata de u acoteciieto uy poco frecuete. La idea es etoces asociar el suceso co su probabilidad de ocurrecia. Mateáticaete: H = N i = p l i l N ( p ) i 7

184 Geeradores pseudoaleatorios ediate el RNS H recibe el obre de catidad de iforació. A cotiuació se da dos ejeplos. E el caso de u cristal perfecto, habrá u estado, x c, que será el ás probable de aera tal que p c, e tato que los restates tiee ua probabilidad cercaa a cero. Esto os da H c 0. Es decir que si el cristal se ecuetra e ese estado la iforació que obteeos es poco relevate. Por el cotrario si se trata de u feóeo e el que todos sus estados so equiprobables, p i = / N, tal coo el caso de u gas ideal aislado, la iforació será áxia H g =. Se toa prestado el cocepto de catidad de iforació, desarrollado e el área de las couicacioes, para edir el grado de desorde o etropía de u sistea. E el caso particular de calcular la etropía de ua serie de úeros supuestos aleatorios, H tedrá u valor cercao a, idicado que cada estado es equiprobable. Batería de tests Diehard Diehard es u cojuto de tests desarrollados por George Marsaglia [MAR95] para deteriar si ua serie uérica es aleatoria o o. Al prograa Diehard hay que proporcioarle u archivo biario de etre 0 y Mbytes co la secuecia de úeros a testear. Cada test etrega coo resultado uo, o varios valores, deoiados p que tiee ua distribució uifore e el itervalo [0, ] si la serie de bits aalizados es aleatoria. Para que la serie se cosidere estadísticaete aleatoria debe dar valores de p ayores a 0,05 y eores que 0,975. Si ebargo aú co bueos GNPAs p puede caer fuera de este rago. Es probable que e ocasioes el valor de p se acerque a 0 o coo por ejeplo o 0,9983, siedo la serie aleatoria. Cuado realete la serie falla e pasar el test se obtiee valores de p iguales a 0 o e seis o ás lugares. A cotiuació se realiza ua breve descripció de los tests que cotiee este paquete: Birthday Spacigs. E u gra itervalo de putos se elige u cojuto de ellos al azar. La separació etre estos putos debe teer ua distribució asitóticaete igual a la de Poisso. GCD test. A dos eteros cosecutivos de la serie, u y v, se les calcula el áxio coú divisor ediate el algorito de Euclides, e k pasos. Etoces k tiee ua distribució aproxiadaete bioial. Los GNPAs cogruetes, a eudo falla al tratar de pasar este test. Gorilla test. Es otra versió del test del oo. Se especifica ua posició de bit de 0 a 3, co ellos se geera ua cadea y se cueta los segetos de 6 bits que o aparece. Este proceso debe teer ua distribució aproxiadaete oral. Overlappig Perutatios test. Cada cojuto de 5 eteros cosecutivos puede estar e uo de los 0 estados (5!) de ordeaietos posibles. Se realiza u coteo acuulado de cada estado. Se costruye ua atriz de 0x0, los copoetes de esta atriz debe teer ua deteriada distribució. Raks of 3x3 ad 3x3 atrices test. Se toa 3 eteros al azar y de ellos los 3 bits ás sigificativos. Se obtiee ua atriz de 3x3, de ceros y uos a la cual se le deteria el rago. El rago está copredido etre 0 y 3 pero aquellos eores que 8 so poco probables. Se ecuetra los ragos para todas las atrices y se cueta los ragos de 3, 30, 9 y <= 8 a los cuales se los aaliza ediate el test de Chi cuadrado. Íde para 3x3. 7

185 Geeradores pseudoaleatorios ediate el RNS Raks of 6x8 Matrices test. Para cada cojuto de 6 úeros al azar de 3 bits se seleccioa u deteriado byte, los resultates 6 bytes fora ua atriz de 6x8 a la que se le deteria el rago. Se realiza el test Chi cuadrado para la cueta de ragos <= 4, 5 y 6. Mokey Tests o 0-bit Words. Se cosidera u alfabeto de dos caracteres, 0 y. Se cosidera palabras de 0 caracteres, que se superpoe, es decir se va recorriedo la cadea corriédose u bit cada vez. Se cueta el úero de palabras que falta. Si la serie es aleatoria el úero de palabras faltate debe teer distribució aproxiadaete oral. Mokey Tests, OPSO, OQSO, DNA. El test del oo trata secuecias de u deteriado úero de bits coo si fuera palabras. El úero de palabras que falta debe teer ua distribució aproxiadaete Gaussiaa. El test OPSO, Overlappig-Pairs-Sparse- Occupacy, cosidera palabras de dos letras de u alfabeto de 04, cada letra se defie co 0 bits sobre u etero de 3 bits de la serie a ser testeada. Se cueta las palabras que falta, que debe teer ua distribució uy aproxiadaete oral. El test OQSO, Overlappig- Quadruples-Sparse-Occupacy, es siilar al aterior salvo que toa palabras de 4 letras, debe teer distribució casi oral. El test DNA cosidera u alfabeto de 4 letras C, G, A, T, deteriadas por la secuecia de dos bits desigados de la secuecia a testear. Toa palabras de 4 letras. La distribució debe ser aproxiadaete oral. Cout the `s i a Strea of Bytes. Cueta el úero de bits e e cada byte. Covierte a ésta cueta e ua letra. Fora palabras de 5 letras que debe seguir ua fució distribució deteriada Cout the `s test. Toa la serie coo eteros de 3 bits. Para cada etero se elige u deteriado byte, por ejeplo, los 8 bits ás sigificativos. Cada byte puede teer etre 0 y 8 bits e. Co cada byte de cada etero sucesivo, co corriieto de bit, se fora ua cadea de palabras de 5 bits. La estadística de las palabras que falta debe teer ua distribució Chi cuadrado. Parkig Lot Test. Ubica al azar círculos de radio uidad e u cuadrado de 00x00. Si u círculo se superpoe a uo ya ubicado lo rechaza. Luego de.000 itetos se cueta el úero de círculos ubicados co éxito, la distribució debe ser oral. Se realiza la operació 0 veces y se los aaliza ediate el KS test. Miiu Distace Test. Coloca al azar putos e u cuadrado de 0.000x0.000, y calcula la distacia íia etre todos los pares de putos posibles. El cuadrado de esta distacia debe teer ua distribució uy cercaa a la expoecial. Se obtiee 0 valores de p a los que se aaliza ediate el KS test. Rado Spheres Test. Toa al azar putos de u cubo co arista igual a.000. E cada puto se cetra ua esfera cuyo radio es la distacia al puto ás cercao. El volue de la esfera ás pequeña tiee ua distribució uy aproxiadaete expoecial. El test geera esferas 0 veces. The Sqeeze. Este test ultiplica el valor iicial k = 3 por úeros e puto flotate etre [0,) co distribució uifore, elegidos al azar hasta llegar a. Lo repite veces. Cueta el úero iteracioes para llegar a este valor, al que se le aplica el test de Chi cuadrado. Overlappig Sus Test. Se geera ua gra secuecia de úeros e puto flotate toados al azar e el rago [0,). Realiza la sua de 00 úeros cosecutivos e puto flotate. Las suas debe teer distribució oral. Rus Up ad Dow Test. Se geera ua gra secuecia de úeros toados al azar y se los covierte a puto flotate e el itervalo [0,). Se cueta el úero de valores cosecutivos ascedetes y descedetes. Esta debe seguir ua deteriada distribució. The Craps Test. Juega a los dados veces 36. Cueta el úero de veces que se gaa y el úero de tiradas ecesario para lograrlo. Abas debe teer ua distribució uy cercaa a la oral. 36 No se aclara que juego. 73

186 Geeradores pseudoaleatorios ediate el RNS Para fializar el prograa Diehard realiza el test de Kologorov Sirov (KS test) al cojuto de los p obteidos, este es el valor que se cosiga e la tesis. Este test se eplea para deteriar si dos distribucioes de probabilidad difiere y cuato, teiedo e cueta u úero fiito de uestras. Para el cojuto de test realizado por Diehard la variable p debe teer distribució uifore. Coplejidad estadística Ituitivaete se puede decir que u sistea o procediieto es coplejo cuado o existe, o o se cooce, ua estructura o coportaieto que perita describirlo o odelizarlo de aera ás siple [LAR0] [GON05] [LAR05] [ROS08] [GON03] [LAR08]. E física la oció de coplejidad se puede explicar partiedo de dos sisteas bie coocidos, u cristal perfecto y u gas ideal aislado. E abos casos su coplejidad es prácticaete ula. E el priero porque su descripció queda reducida al euciado de uos pocos paráetros, la celda uidad, e el segudo porque todos sus estados so equiprobables. La coplejidad de u sistea es u cocepto fácil de eteder pero difícil de cuatificar. Tal es así que existe diversos procediietos propuestos para edirla. A cotiuació se explica dos de ellos. E [LOP95] se propoe ua edida de la coplejidad estadística, C LMC (Coplejidad López-Macii-Calvet), basada e las ideas de orde, iforació y equilibrio. Coo se vio e Etropía y catidad de iforació u cristal ideal, perfectaete ordeado, tiee iforació íia, e tato que u gas ideal copletaete desordeado posee iforació áxia. Cada uo de estos sisteas se ecuetra e las atípodas del otro e cuato a orde y catidad de iforació. E [LOP95] se eucia ua edida de la coplejidad de u sistea coo la distacia a la distribució equiprobable de todos los estados posibles del sistea. Para esurar esta distacia se defie el desequilibrio coo u valor que debe ser distito de cero si existe estados que so ás probables que otros. Pero esto sólo o fucioará puesto que e u cristal perfecto sus posibles estados o está equidistribuidos por lo que el desequilibrio debe ser áxio. E tato que e el gas ideal el desequilibrio será cero por costrucció. Si ebargo si se asocia etropía y desequilibrio D, de la aera D x H, se ve que este producto se puede defiir coo ua edida de la coplejidad puesto que tato para el cristal perfecto coo para el gas ideal la coplejidad tiede a cero. Puesto que D debe ser ayor que cero para teer u valor positivo para la edir la coplejidad y debe ser igual a cero para u sistea co estados equiprobables se puede defiir el desorde coo: D LMC = N i = pi N Ecuació 8 Medida de desorde defiida por López-Macii-Calvet. Así para el cristal perfecto D y para el gas ideal D 0. Para otros sisteas D estará copredido etre estos dos putos. 74

187 Geeradores pseudoaleatorios ediate el RNS Teiedo e cueta lo expuesto la coplejidad estadística de u sistea o proceso segú López, Macii, Calvet (C LMC ) se puede calcular ediate la siguiete expresió: C LMC N pi l( pi ) N i = = H DLMC = pi l N i = N Ecuació 9 Coplejidad segú López-Macii-Calvet. E [MAR03] se hace eco de las críticas que ha recibido la Ecuació 8 debido a que es equivalete a edir la distacia e el setido Euclidiao. Pricipalete porque se igora la aturaleza aleatoria de la distribució de probabilidad. De aera que defie la coplejidad C MPR, coplejidad Marti-Plastio-Rosso, segú la Ecuació 0. C MPR N pi l( pi ) N i = = H D MPR = arccos l N i = arccos N pi Ecuació 0 Medida de la coplejidad segú Marti-Plastio-Rosso. N E esta expresió D MPR es la distacia estadística, que o coicide co la Euclidiaa. La distacia estadística etre dos putos e u espacio de probabilidad de N diesioes es la logitud estadística de la curva ás corta que coecta a los putos e este espacio. La logitud estadística de ua curva es el úero áxio de putos utuaete distiguibles a lo largo de la curva. Mateáticaete se expresa por la Ecuació co D 0 dado por la Ecuació. Co 0 D MPR. D MPS N = D 0 arccos i = p i N Ecuació Desequilibrio derivado del cocepto de distacia estadística. D 0 = arccos Ecuació Factor de desequilibrio basado e los coceptos euciados por W. K. Wootters [WOO8]. N Medida de coplejidad ediate prograas copactadores (zippers) E [BAR05] se propoe el uso de algoritos epleados para la copactació de archivos coo ua herraieta para edir la coplejidad de u sistea. El teorea de Shao-McMilla deteria que para ua dada cadea 37 existe u líite áxio al que se 37 E este cotexto ua cadea puede ser, ua secuecia de DNA, texto, bits e couicació digital de datos, bit e alaceaieto agético, etc. 75

188 Geeradores pseudoaleatorios ediate el RNS la puede copriir, si perdida de iforació. Este líite es proporcioal a la etropía, e el cotexto de teoría de la iforació, que ella cotiee. De aera que ua buea edida de cuatificar la catidad de iforació es ver cuato se puede copriir ua cadea si perdida de iforació. Segú este razoaieto parece atural toar los resultados que etrega los copresores de archivos ás coues coo ua herraieta de edir su etropía. Se dice que u algorito de copresió es óptio si es capaz de alcazar el líite euciado por Shao-McMilla. Uo de los algoritos ás epleados por los copactadores coerciales es el de Lepel-Ziv [FER07], que es capaz de acercarse asitóticaete al líite ipuesto por el teorea de Shao-McMilla. Lepel y Ziv defiiero e 976 coo edida de coplejidad de ua serie de bits el úero de patroes diferetes que se puede ecotrar e la secuecia. El prograa WiZip utiliza ua versió ejorada del algorito aterior deoiado Lepel-Ziv-Welch. Para edir la coplejidad de zippig se uso la versió 9.0 de WiZip e el odo de copresió áxia. La coplejidad zippig se defie coo: N º de bits del archivo copactado C zippig = Nº de bits del archivo si copactar Expoete de Hurst o aálisis R/S H. E. Hurst [PET9] [PET95] trabajó e el proyecto de la represa del Río Nilo a pricipios del siglo 0. Su problea era deteriar la capacidad del reservorio a costruir y que volue de agua se puede dejar salir por año, si que este se vacíe i sea superado e su ivel áxio. Hasta etoces, y dada la catidad de variables ivolucradas e el sistea del Río Nilo se supoía que el ivel del iso se podía odelizar ediate la estrategia del caio aleatorio. Eistei había ostrado, que e el feóeo coocido coo oviieto Browiao, la distacia que recorre ua partícula se icreeta co la raíz cuadrada del tiepo trascurrido etre ua observació y otra. La expresió ateática es: 0,5 R = T Ecuació 3 Distacia recorrida segú el tiepo trascurrido etre observacioes. dode R = distacia recorrida, T = itervalo de tiepo. Hurst descubrió que la Ecuació 3, que se aplica sólo a series co valor edio cero y variaza igual a uo, se puede geeralizar a: R S = c. H 38 Copyright WiZip Cputig Ic. 76

189 Geeradores pseudoaleatorios ediate el RNS dode c es u costate, el úero de putos de la serie y H el hoy deoiado expoete de Hurst. Este estudio, tabié coocido coo aálisis de rago reescalado, debido a que los datos se procesa para teer valor edio cero y se oraliza co respecto a su desviació stadard, divide la serie x de putos e b subseries co / b valores cada ua. El algorito siguiete se realiza, e geeral, co / b variado desde 4 a / putos. A cada valor de b se lo llaa ivel de agregació. Para cada ivel de agregació se realiza lo siguiete. Se calcula el valor edio, x (/b), y la desviació stadard de cada subserie. Se obtiee las uevas subseries: zr = xr x co r =,... b b z tiee valor edio igual a cero. E el próxio paso se deteria la serie acuulada: y se deteria el rago: k yk = zi co k =,... b i= R = áx y,... y í y,... y b b se calcula la desviació stadard, S /b, y la relació (R/S) /b de cada subserie. Se realiza el proedio de (R/S) /b sobre las b series. Se procede de la isa aera para cada ivel de agregació, se realiza ua gráfica doble logarítica, (R/S) /b /b, que se aproxia liealete por el étodo de íios cuadrados, su pediete es el valor de H. Ver Figura 7. b El resultado del estudio puede dar: c ) ) ) a H = 0,50 b 0,50 < H,00 0 H < 0,50 Si H = 0,50 se trata de u proceso idepediete. Niguo de sus valores está relacioado co los ateriores. Es u proceso aleatorio, idepedieteete del tipo de fució distribució de que se trate, uifore, Gaussiaa, t-studet, etc. Si el caso es el b, la serie es persistete y preseta efectos de eoria a largo plazo, lo que ocurre hoy afecta al futuro, para siepre, auque co u efecto eor a edida que os alejaos de ese valor e particular. Si e u deteriado oeto la serie preseta valores cosecutivos ascedetes es uy probable que el próxio tabié lo sea. Si por el cotrario los valores so descedetes es uy posible que el cosecutivo siga esta tedecia. Por últio, si H cae e el caso c la serie es atipersistete, el sistea cubre eos recorrido que e el caio aleatorio, su pediete se ivierte ás seguido que e el oviieto Browiao. La fuerza co que u feóeo es persistete o atipersistete depede del valor de H. E el caso que os iteresa, testear si ua serie es aleatoria, debe ser H = 0,50, o de aera ás rigurosa, debido a los errores propios de todo procesaieto uérico y dado 77

190 Geeradores pseudoaleatorios ediate el RNS que se trata de u estudio estadístico, se debe cuplir que H 0,50 para ser cosiderada aleatoria.,5,0 SWBGII Clase A Aproxiació lieal Log(R/S),5,0 H = 0, ,5,0,5,0,5 3,0 3,5 4,0 4,5 5,0 Log( / b) Figura 7 Gráfica para el cálculo del expoete de Hurst para uo de los GNPAs propuestos. GENERADORES PSEUDOALEATORIOS PROPUESTOS CON EL SISTEMA NUMÉRICO DE RESIDUOS La idea es costruir GNPAs e el RNS co distribució de probabilidad uifore, para o sólo para toar vetaja de las propiedades de cálculo que preseta la aritética de residuos, sio tabié para propoer uevos algoritos para geerar series de úeros aleatorios co u gra úero de bits cada uo. E cocreto, el caio plateado es toar u tipo de GNPA coocido, y o sólo, trabajarlo e el RNS, sio que adeás se toe vetaja de la capacidad que tiee esta estrategia coo característica distitiva, cual es la de trabajar e caales paralelos y producir secuecias e la que e cada iteració el úero obteido tega u elevado úero de bits. Se eligió coo caso de estudio los geeradores cogruetes 39. E geeral estos algoritos obtiee el valor presete de la serie e fució de operacioes secillas sobre u valor o valores ateriores, luego de realizado el cálculo se toa el ódulo del resultado. E los apartados siguietes se verá cada uo de ellos e detalle. Para los geeradores propuestos cada caal es u pequeño geerador aleatorio cogruete. Coo idea ituitiva, a fi de auetar el grado de desorde, la coplejidad del sistea o roper algú tipo de estructura subyacete, e lugar de trabajar co caales 39 Tabié se trabajó co otro tipo de GNPAs pero o superaro la batería de test Diehard. 78

191 Geeradores pseudoaleatorios ediate el RNS idepedietes, coo e cualquier sistea basado e el RNS, se estableció ua relació etre ellos. De fora tal que cada caal reciba ruido o perturbacioes de los restates. Para itroducir e u caal la iforació de los otros se siguiero dos estrategias. E la priera, deoiada Tipo I, cada vía de cálculo recibe la sua de las salidas de las otras. E la seguda, Tipo II, se recibe la or exclusiva de los acarreos o salidas del resto de los caales. La estrategia II tiee la vetaja de que requiere eos hardware para su ipleetació. E geeral el rago M co que trabaja los GNPAs es ua potecia de, por ejeplo 3. Si bie los geeradores propuestos puede geerar eteros de cualquier úero de bits, a fi de poder copararlos co otros algoritos bie coocidos, se decidió trabajar tabié co secuecias de eteros de 3 bits. Ahora bie si se desea trabajar co úeros de bits se preseta la siguiete dificultad. Coo se vio e SISTEMA NUMÉRICO DE RESIDUOS se debe elegir u cojuto de ódulos relativaete prios co lo que se obtiee u rago diáico M igual al producto de los ódulos, co < M < +. Es decir que M o es ua potecia exacta de. Por lo tato para trabajar co bits se seleccioa u cojuto que siepre excederá a, lo que trae aparejado el siguiete icoveiete. Aú cuado los úeros leídos e decial sea equiprobables, los 0s y s e cada posició o lo será. Este problea se ejeplifica e la Tabla 53, para teer M = se ecesita 4 bits. Coo se puede observar se tiee: Para la posició b0 6 ceros y 5 uos b 6 ceros y 5 uos b 7 ceros y 4 uos b3 8 ceros y 3 uos Los 0s y s o so equiprobables e cada posició, algo ideseado e u bue GNPAs. Esto ocurre a pesar de que los úeros del 0 al 0 tega ua distribució uifore perfecta. b 3 b b b Tabla 53 Ejeplo, para M =, debe ser = 4. 79

192 Geeradores pseudoaleatorios ediate el RNS Para salvar esta situació se ipleetaro tres estrategias, deoiadas Clase A, Clase B y Clase C. Estrategia Clase A Se toa u cojuto tal que cupla co < M < +. Para el caso, = 3, se eligió = {3,, 7, 9, 3, 9, 3, 37} co lo que se obtiee M = > 3 = , es decir se puede represetar el rago deseado. Si el GNPA bajo estudio es bueo, cosa que se deostrará ediate los test posteriores, se puede toar sólo aquellos valores que sea eores que 3 y descartar el resto. Por ejeplo, si el GNPA tiee distribució uifore etre 0 y M, la serie obteida tedrá distribució uifore etre 0 y 3. Trabajar de esta aera trae dos cosecuecias, ua ituitiva y otra práctica. La ituitiva dice que si existiera algua estructura e la secuecia geerada, al quitar alguos de sus eleetos, e este caso u úero iportate, casi uo de cada dos, e la ueva serie esa estructura se desvaecerá o ateuará. E el caso práctico se tiee el problea de que o se etregará, debido al descarte, u úero e cada iteració. Esto puede subsaarse ediate el agregado de hardware, por ejeplo ua eoria FIFO, para la cual habrá de realizarse u estudio a fi de deteriar su capacidad y co el GNPA fucioado a ua frecuecia ayor que el circuito que los procesa, por ejeplo el que ecripta. Estrategia Clase B E este caso se trata de seleccioar u cojuto que cupla co < M < +, pero de aera tal que la diferecia M 3 sea íia, y puesto que el úero de bits es 33 sipleete se descarta el ás sigificativo. E el caso ejeplificado e la tabla Tabla 53 se obtedrá úeros copredidos etre 0 y 0 e la que al descartar el bit de ayor peso se reducirá a ua cadea de dígitos etre 0 y 7. Las cosecuecias so las siguietes. Se ejora el throughput, puesto que e cada iteració se obtiee u dato. Se epeora su fució distribució puesto que la cobiació 000 es ás probable que la, dado que la priera puede ocurrir si el úero presetado por el geerador fue 0000 o 000 e tato que la seguda de dará sólo cuado su salida sea 0. Cuato eor sea la diferecia etre M y eor será la perturbació e la fució distribució, supuesta uifore. Coo vetaja tiee la característica de su secillez, puesto que i siquiera es ecesario u coparador, e efecto, de las tres clases de circuitos desarrollados es el ás secillo e su hardware. Para idetificar los cojutos de ódulos que ejor se adapta a esta estrategia se realizó u estudio exhaustivo co ódulos prios de hasta 7 bits es decir co el cojuto {3, 5, 7,, 3, 7, 9, 3, 9, 3, 37, 4, 43, 47, 53, 59, 6, 67, 7, 73, 79, 83, 89, 97, 0, 03, 07, 09, 3, 7}. Se buscaro aquellos cojutos de ódulos que superara a 3 e o ás de u 0,0%. Es decir cobiacioes de los 30 ódulos toados de a 4, 5, 6, 7 y 8. Co 80

193 Geeradores pseudoaleatorios ediate el RNS 4 ódulos o se puede llegar a 3, e los restates casos los ejores resultados fuero los que se ilustra e la Tabla 54. Exceso de 3 e porcetaje % % % % % % % % % % % % % % % % % % % % % % % % % % Tabla 54 Cojutos de ódulos que ás se aproxia a 3 e exceso. Coo se puede apreciar si se toa el prier cojuto, = {3, 43, 47, 67, 97, 09}, que es el grupo co el cual se trabajó, la fució distribució de probabilidad uifore se verá alterada, habrá úeros ás probable que otros, pero será sólo el 07% del total. De aera que se puede afirar que para la ayoría de los fies prácticos los 0s y s será prácticaete equiprobables e cada posició y que la fució desidad de probabilidad es uifore. Estrategia Clase C Este efoque eliia las liitacioes que tiee las estrategias Clase A y B. Puesto que etrega u úero e cada iteració y la distribució es uifore e toda su extesió. Coo e el efoque Clase B se toa u cojuto tal que M sea ayor que 3 pero cercao a él. Es decir que el geerador etregará úeros que requiere para su 8

194 Geeradores pseudoaleatorios ediate el RNS represetació e biario 33 bits. Los úeros etregados por el GNPA igresa al bloque que se ilustra e la Figura 8 deoiado circuito de correcció. Figura 8 Circuito de correcció epleado e la estrategia Clase C. Coo se puede apreciar e la figura, si el bit ás sigificativo etregado por el coversor RNS a biario es cero (a 3 = 0), el úero etregado por el GNPAs sigue si cabios su caio hasta la salida, Registro d. El Registro e periódicaete actualiza su valor de la siguiete aera. Si u deteriado úero de los bits eos sigificativos, por ejeplo 5, del Registro c, so iguales a u valor predeteriado, elegido de aera arbitraria, se alacea u uevo valor. Esto sigifica que estadísticaete su valor se odifica, e caso de toar los 5 bits eos sigificativos del Registro c, co u frecuecia de cada 3 iteracioes y el valor que se alacea es el del Registro d. Se realieta y alacea el coteido de Registro d y o e el Registro c, de lo cotrario los últios bits de Registro e seria siepre los isos. La idea es que cuado el coversor RNS a biario etregue u úero tal que a 3 = los bits a 3 a 0 sea reeplazados por algua operació etre estos y el valor de Registro e, que se alaceó e algú oeto e el pasado. Estadísticaete tato ás alejados del valor actual cuato ayor sea el úero de bits toados de Registro c para cargar el Registro e. La operació etre Registro e y a 3 a 0 debe ser ua relació secilla e la que, adeás, para cada bit del resultado los 0s y s sea equiprobables. El eleeto que cuple co esta codició es la or exclusiva realizada bit a bit. Co este circuito de correcció se logra dos cosas. Priero, e cada iteració se obtiee u úero de la serie pseudoaleatoria. Segudo, o se altera la distribució uifore, puesto que o existe valores privilegiados, coo e la estrategia deoiada Clase B, dado que para cada bit d 3 a d 0 los 0s y s so equiprobables. 8

195 Geeradores pseudoaleatorios ediate el RNS E la Figura 9 se puede ver la siulació del circuito de correcció. El Registro e se carga cuado los cico bits eos sigificativos del Registro c so iguales a 000 y se produce u flaco ascedete e la señal de reloj; e este caso e el cuarto y co Registro c = 0x Cada vez que a 3 = la señal que etrega el ultiplexor es la salida del cojuto de or exclusivas y es capturada por el Registro c e el próxio flaco ascedete de reloj, e esta siulació el úero 7. E efecto Registro c = 0x es igual a la or exclusiva bit a bit etre 0xFFFFFFFA y 0xFFFFFFFF. La siulació se realizó co ua FPGA EPF0K30BC356-3, la frecuecia áxia de trabajo a la que puede operar es de 70,9 Mhz y se ecesita 6 celdas para sitetizarlo. Figura 9 Siulació del circuito de correcció de la estrategia C. A cotiuació se describe cada uo de los geeradores propuestos. Para la Estrategia A se toó = {3,, 7, 9, 3, 9, 3, 37}, e tato que para las B y C = {3, 43, 47, 67, 97, 09}. Para los coeficietes que opera sobre valores ateriores de la serie se eligiero las eores raíces priitivas para cada ódulo; g = {,, 3,, 5,, 3, } y g = {, 3, 5,, 5, 6}. Si se tiee u circuito coo el de la Figura 30, e dode g es la raíz priitiva de, se obtiee ua serie, s, e la que ésta toa todos los valores etre 0 y, sólo ua vez, hasta que se repite la secuecia. Si se toa otra de las raíces priitivas posibles, se obtiee ua serie de idéticas características a la aterior sólo que los úeros aparece e otro orde. Parece etoces atural toar e cada caal, cada vez que se desee realizar ua ultiplicació por ua costate, a la raíz priitiva del ódulo co que se está trabajado, por su efecto dispersor y uiforizador. Figura 30 Circuito para geerar ua serie a partir de su producto co la raíz priitiva. Geerador lieal cogruete RNS-LCG 83

196 Geeradores pseudoaleatorios ediate el RNS El geerador RNS-LCG (Residue Nuber Syste-Liear Cogruetial Geerator) se basa e el LCG, cuya expresió ateática está dada por la Ecuació 4, co a y b costates y M el ódulo que deteria el rago diáico del sistea. x ( a x b) ód M i = i + Ecuació 4 Geerador Cogruete Lieal (LCG). La ipleetació circuital para los geeradores RNS-LCG Tipo I y II para caales, cada uo de los cuales trabaja co h j bits, se uestra e la Figura 3. Cada caal es u pequeño geerador lieal cogruete que realiza el cálculo de la Ecuació 5. Se toa residuo i e lugar de residuo i, de lo cotrario o se pasa el test Diehard. E esta g j y j so la raíz priitiva y el ódulo de trabajo de cada uo de los caales y residuo j, i es el residuo del caal j para la iteració i. ( g j residuo j i bj i ) ód j residuo j, i =, +, Ecuació 5 Ecuació para cada caal e el geerador RNS-LCG. Figura 3 Geeradores RNS-LCG-I y RNS-LCG-II. Diagraa e bloques para u caal geérico j, arriba. Esqueático total, abajo. 84

197 Geeradores pseudoaleatorios ediate el RNS Para itroducir u ayor desorde los caales se perturba etre si, de aera que el valor b de la Ecuació 4 ya o es ua costate. E los geeradores Tipo I (RNS-LCG-I), co igual al úero de ódulos, para el caal j e la iteració i se tiee: b j, i = k = k = 0 k j residuo k, i Ecuació 6 Cálculo del tério b para los geeradores RNS-LCG-I. e tato que para los Tipo II (RNS-LCG-II), co Θ la operació or exclusiva bit a bit, será: b k = =Θ residuos j, i = k, i k 0 k j Ecuació 7 Cálculo del tério b para los geeradores RNS-LCG-II. Geerador cogruete ediate ultiplicació y acarreo RNS- MWCG Este tipo de geerador RNS se basa e el epleo del geerador ediate ultiplicació y acarreo cogruete, que usa coo ecaiso de iteració la Ecuació 8 y la Ecuació 9. x ( a x acarreo ) ód M i = i + i Ecuació 8 Fórula de recurrecia para el geerador cogruete ediate ultiplicació y acarreo. acarreo a xi + acarreoi M i = Ecuació 9 Cálculo del acarreo para el geerador cogruete ediate ultiplicació. Por ejeplo si M = 0 y a = 6 coezado co x 0 = 4 y acarreo = 4 se obtiee la siguiete secuecia ( x acarreo ): 4 4, 8, 0 5, 5 0, 0 3, 3 0, 8, 9 4, 8 5, 3 5, 3, 0, 0,, 3, E la Figura 3 se ilustra los bloques copoetes de cada caal para el circuito propuesto. Cada uo de ellos, por ejeplo el caal j, es u geerador de úeros aleatorios cogruete ediate ultiplicació y acarreo que coputa la Ecuació 8 y la Ecuació 9. E cada vía se trabaja e uo de los ódulos seleccioados del cojuto, la salida de cada uo de ellos e la iteració i se deoia residuo(j, i) que es u úero de h j bits. El lazo itero de cada caal calcula el acarreo correspodiete al iso para el oeto i, acarreo(j, i), segú la Ecuació 30. acarreo j, i g j residuo j, i + acarreo = j j, i Ecuació 30 Cálculo del acarreo para cada caal. 85

198 Geeradores pseudoaleatorios ediate el RNS El lazo extero coputa la Ecuació 8 pero co la variate presetada e la Ecuació 3 a fi de poder itroducir las perturbacioes de los restates caales: ( g j residuo j, i + acarreo j, i bj i ) ód j residuo j, i = +, Ecuació 3 Ecuació de iteració para el geerador ediate ultiplicació y acarreo propuesto. Figura 3 Geeradores RNS-MWCG-I y RNS-MWCG-II. Diagraa e bloques para u caal geérico j, parte superior. Abajo, circuito esqueático total. De aera que la costate b para el caal j se reeplaza por u tério variable que se calcula ediate la Ecuació 3 para los geeradores Tipo I (RNS-MWCG-I) y por la Ecuació 33 para los tipo II (RNS-MWCG-II). b j, i = k = k= 0 k j residuo k, i Ecuació 3 Cóputo del tério b para los geeradores RNS-MWCG-I. 86

199 Geeradores pseudoaleatorios ediate el RNS b k = =Θ residuo j, i = k, i k 0 k j Ecuació 33 Cóputo del tério b para los geeradores RNS-MWCG-II. Geerador cogruete ediate el ultiplicativo iverso RNS-ICG E la Ecuació 34 se uestra la ecuació de iteració para el geerador ICG (Iversal Cogruetial Geerator). Dode x es el ultiplicativo iverso de x e ódulo M, es decir: (x. x ) M =, para x 0 y x = 0 si x = 0. co a y b costates. x ( a x b) ód M i = i + Ecuació 34 Algorito de iteració para el geerador cogruete ediate el ultiplicativo iverso. Figura 33 Geeradores RNS-ICG-I y RNS-ICG-II. Diagraa e bloques para u caal geérico j, arriba. Abajo diagraa e bloques total. 87

200 Geeradores pseudoaleatorios ediate el RNS E la Figura 33 se uestra los geeradores propuestos ediate esta estrategia. E lugar de trabajar co la Ecuació 34 e cada caal, se trabajó co la Ecuació 35. Se toa residuo i e lugar de residuo i, de lo cotrario o se pasa el test Diehard. La costate b se reeplaza por u tério que itroduce iforació de los otros caales. Así para el caal j y la iteració i, b se calcula ediate la Ecuació 36 para los geeradores Tipo I (RNS-ICG-I) y ediate la Ecuació 37 para los tipo II (RNS-ICG-II). ( g j residuoi bj i ) ód j residuo j, i = +, Ecuació 35 Geerador cogruete ultiplicativo iverso propuesto. b j, i = k = k= 0 k j residuo k, i Ecuació 36 Cálculo del tério para los geeradores RNS-ICG-I. b k = =Θ j, i = residuok, i k 0 k j Ecuació 37 Cálculo del tério b para los geeradores RNS-ICG-II. Geerador cogruete ediate sua y acarreo RNS-AWCG Marsaglia y Zaa [SHU93] propusiero el geerador de úeros aleatorios deoiado sua co acarreo (AWCG). Las fórulas de iteració so la Ecuació 38, la Ecuació 39 y la Ecuació 40 co s y r eteros positivos co r > s. El valor actual de la serie y el del acarreo depede ya o del valor aterior sio de valores pasados. x ( x + x acarreo ) ód M i = i s ir + i Ecuació 38 Forula de recurrecia para el geerador cogruete ediate sua y acarreo. 40 ( x + x + acarreo M ) acarreoi = I is ir i Ecuació 39 I recibe el obre de fució idicació. si acarreo i = 0si I es verdadero I es falso Ecuació 40 Cálculo del acarreo para la iteració i ediate la fució I. E la Figura 34 se uestra la ipleetació directa del algorito propuesto por Marsaglia y Zaa. Coo se puede apreciar o existe iteracció etre los caales. Este geerador recibe el obre de RNS-AWCG-I. 40 E [SHU93] se trabaja co dos posibilidades, suar el acarreo, coo e este caso, o restarlo. 88

201 Geeradores pseudoaleatorios ediate el RNS Figura 34 Geerador RNS-AWCG-I. Diagraa e bloques para u caal geérico j, arriba. Esqueático total, abajo. E los geeradores RNS-AWCG-I cada caal coputa la Ecuació 4 para el cálculo de su residuo y la Ecuació 4 para el acarreo, co resultado si I es verdadero y 0 si es falso. ( residuo j, i s + residuo j, ir + acarreo j, i ) ód j residuo j, i = Ecuació 4 Cálculo de valor del residuo para cada caal e los geeradores RNS-AWCG-I. acarreo ( residuo + residuo + acarreo ) j, i = I j, is j, ir j, i Ecuació 4 Calculo del acarreo para el caal j e la iteració i. E la Figura 35 se ilustra el diagraa e bloques del geerador deoiado RNS- AWCG-II. Al igual que para los geeradores RNS-AWCG-I cada caal realiza la operació descripta e la Ecuació 4, pero a diferecia de este cada caal recibe iforació de los restates, esto se logra ediate el cálculo del acarreo del caal j por la Ecuació 43. acarreo Θ k = j, i = acarreok, i k= 0 k j Ecuació 43 Cálculo del acarreo para el caal j para el geerador RNS-AWCG-II. j 89

202 Geeradores pseudoaleatorios ediate el RNS Figura 35 Geerador RNS-AWCG-II. Diagraa e bloques para u caal geérico j, arriba. Esqueático total, abajo. Geerador cogruete ediate resta y préstao RNS-SWBG Coo alterativa al AWCG Marsaglia y Zaa [SHU93] propusiero el geerador de resta y préstao (SWBG). Las ecuacioes de iteració so la Ecuació 44, la Ecuació 45 y la Ecuació 46. Coo e el AWCG s y r so eteros positivos co r > s. El valor actual de la serie y el del acarreo depede ya o del valor aterior sio de valores pasados. x = ( x x préstao ) ód M i is ir i Ecuació 44 Algorito de iteració para el SWBG. 4 préstao i ( x x préstao ) = I is ir i <0 Ecuació 45 Cálculo del préstao ediate la fució de idicació I. 4 E [SHU93] se trabaja co dos posibilidades, restar el préstao, coo e este caso, o suarlo. 90

203 Geeradores pseudoaleatorios ediate el RNS si I es verdadero préstao i = 0 si I es falso Ecuació 46 Préstao para la iteració i. Figura 36 Geerador RNS-SWBG-I. Diagraa e bloques para u caal geérico j, arriba. Abajo, circuito esqueático total. E la Figura 36 se uestra el geerador deoiado RNS-SWBG-I e la que cada caal trabaja de fora idepediete, segú la ecuació Ecuació 47 y la Ecuació 48, es decir que se ipleeta de aera directa el algorito propuesto por Marsaglia y Zaa. ( residuo j, is residuo j, ir préstao j, i ) ód j residuo j, i = Ecuació 47 Cálculo del valor del residuo para cada caal e los geeradores RNS-SWBG-I. préstao ( residuo residuo préstao 0) j, i = I j, is j, ir j, i < Ecuació 48 Cálculo del préstao para el caal j e la iteració i. E la Figura 37 se ilustra el diagraa e bloques del geerador llaado RNS- SWBG-II. De la isa aera que e los geeradores RNS-SWBG-I se eplea la Ecuació 47 y la Ecuació 48 para el cóputo del residuo y el préstao para cada caal. Pero e este 9

204 Geeradores pseudoaleatorios ediate el RNS caso cada caal es perturbado por los restates, ediate el cálculo realizado por la Ecuació 49. préstao Θ k= préstao j, i = k, i k = 0 k j Ecuació 49 Cálculo del préstao para el caal j para el geerador RNS-SWBG-II. Figura 37 Geerador RNS-SWBG-II. Diagraa e bloques para u caal geérico j, arriba. Circuito esqueático total, abajo. TESTEO DE LOS GENERADORES PSEUDOALEATORIOS PROPUESTOS Para el testeo de los geeradores propuestos se toó = {3,, 7, 9, 3, 9, 3, 37} para los algoritos Clase A, e tato que para los B y C = {3, 43, 47, 67, 97, 09}. Los coeficietes que opera sobre los valores ateriores e cada tipo de geerador so las raíces priitivas g = {,, 3,, 5,, 3, } e el prier caso y g = {, 3, 5,, 5, 6} e el segudo y tercero. 9

205 Geeradores pseudoaleatorios ediate el RNS A fi de poder coparar los geeradores propuestos co otros ya testeados y coocidos se geeraro las siguietes series, salvo e el prier caso, de úeros eteros de 3 bits: Uifore, coputada por el MatLab MWCG, Multiply With Carry Geerator, co el prograa Makewhat del paquete Diehard. MTHR4, the other of all rado uber geerators del paquete Diehard. SWBMWC, es ua cobiació de los geeradores Subtract With Borrow y Multiply With Carry, del paquete Diehard. Test de autocorrelació Ua herraieta ateática que se eplea frecueteete e el procesaieto de señales es la autocorrelació. La correlació cruzada, defiida para dos señales, da ua edida del parecido o siilitud de las isas. La fució de autocorrelació se puede iterpretar coo la correlació cruzada de ua señal co si isa. Co la itegral de autocorrelació se pretede ecotrar la existecia de patroes repetitivos e la señal bajo estudio, por ejeplo, si existe ua periodicidad e la señal pero que se ecuetra eascarada bajo ruido o para idetificar la frecuecia fudaetal de ua señal que o cotiee dicha copoete, pero e la que aparece copoetes aróicas de esta. A odo de ejeplo e la Figura 38 y e la Figura 39 se uestra la autocorrelació del geerador RNS-AWCG-I, Clase A. E la priera el gráfico total y e la seguda ua regió apliada del iso. Para el cálculo de la autocorrelació e todos los casos se geeraro secuecias de 3 bits. Adeás, co el objeto de realizar ua coparació ás precisa co otros geeradores elegidos para cotrastarlos co los propuestos, se oralizaro las series a úeros e puto flotate copredidos e el rago [ 0,5, 0,5]. Para todos los geeradores el cálculo se realizó sobre ua serie de putos, pues se vio que el toar u ayor úero de putos o cabia los resultados pero hace que el tiepo de cóputo auete. Ahora bie, las figuras ateriores uestra la fució de autocorrelació de aera cualitativa. Para poder coparar las series de aera cuatitativa se calculó para cada gráfico de autocorrelació el valor edio y su desviació stadard. E el caso ideal abas debe ser iguales a cero. E la Tabla 55 se uestra el resultado de este cálculo, tato para los geeradores propuestos coo para aquellos elegidos para cotrastar. Se puede ver que los resultados obteidos está detro de lo esperado. Es decir que la autocorrelació de los geeradores propuestos es siilar a aquellos ya estadarizados y testeados de aera exhaustiva. 4 Copyright , The MathWorks Ic. 93

206 Geeradores pseudoaleatorios ediate el RNS Autocorrelació x 0 4 Figura 38 Autocorrelació para el geerador RNS-AWCG-I, Clase A Autocorrelació x 0 4 Figura 39 Regió apliada de la autocorrelació para el geerador RNS-AWCG-I, Clase A. 94

207 Geeradores pseudoaleatorios ediate el RNS Autocorrelació Geerador Valor edio Desviació stadard RNS-AWCG-I 0 8,5 RNS-AWCG-II,5x0-5 8,65 C RNS-ICG-I 0,095 8,5 L RNS-ICG-II 0,0665 8,66 A RNS-LCG-I 0, ,65 S E RNS-LCG-II 0,09 8,57 RNS-MWCG-I 0,034 8,66 A RNS-MWCG-II 0,0499 8,57 RNS-SWBG-I 0,076 8,59 RNS-SWBG-II 95 8,58 RNS-AWCG-I ,6 RNS-AWCG-II 0,049 8,7 C RNS-ICG-I 4 8,58 L RNS-ICG-II 0,06 8,66 A RNS-LCG-I 376 8,76 S RNS-LCG-II 035 8,65 E RNS-MWCG-I 0, ,94 B RNS-MWCG-II 0,895 8,64 RNS-SWBG-I ,4 RNS-SWBG-II 0,0545 8,65 RNS-AWCG-I ,78 RNS-AWCG-II 0,049 8,7 C RNS-ICG-I 6 8,58 L RNS-ICG-II 0,09 8,66 A RNS-LCG-I 380 8,76 S RNS-LCG-II ,64 E RNS-MWCG-I 0,88 8,64 C RNS-MWCG-II 0,0866 8,7 RNS-SWBG-I ,4 RNS-SWBG-II 0,0536 8,65 Uifore 0,07 8,65 MWCG ,63 MTHR4 46 8,48 SWBMWC ,69 Tabla 55 Valor edio de la autocorrelació y su desviació stadard para cada geerador testeado. Aálisis espectral El aálisis espectral se realizó sobre las isas series co las que se calculó la autocorrelació. E la Figura 40 y e la Figura 4 se ilustra el espectro para la serie RNS-AWCG-I Clase A. E la últia se uestra ua regió apliada de la priera. 95

208 Geeradores pseudoaleatorios ediate el RNS 50 Trasforada Discreta de Fourier Frecuecia x 0 4 Figura 40 Trasforada discreta de Fourier para el geerador RNS-AWCG-I, Clase A Trasforada Discreta de Fourier Frecuecia Figura 4 Regió apliada de la Trasforada Discreta de Fourier para el geerador RNS-AWCG-I, Clase A. 96

209 Geeradores pseudoaleatorios ediate el RNS E la Tabla 56 se uestra para cada geerador de úeros aleatorios testeados el valor edio y la desviació stadard de su espectro. E el caso ideal, ruido blaco, el espectro debe teer u valor costate. Se puede ver que hay ua gra coicidecia etre todos los geeradores, icluidos los de referecia. Trasforada Discreta Geerador de Fourier Valor Desviació edio stadard RNS-AWCG-I 57,0 9,9 RNS-AWCG-II 57,5 9,93 C RNS-ICG-I 57,4 9,79 L RNS-ICG-II 57,6 9,93 A RNS-LCG-I 57, 3 S E RNS-LCG-II 57,05 9,85 RNS-MWCG-I 57,5 30,03 A RNS-MWCG-II 57, 9,8 RNS-SWBG-I 56,97 9,85 RNS-SWBG-II 57,4 9,97 RNS-AWCG-I 57, 9,98 RNS-AWCG-II 57,4 30,0 C RNS-ICG-I 57,34 9,66 L RNS-ICG-II 57,4 9,93 A RNS-LCG-I 57,5 30,06 S RNS-LCG-II 57,5 9,87 E RNS-MWCG-I 57,3 9,85 B RNS-MWCG-II 57,8 9,93 RNS-SWBG-I 56,84 9,87 RNS-SWBG-II 57,3 9,88 RNS-AWCG-I 57,34 30,06 RNS-AWCG-II 57,4 30,0 C RNS-ICG-I 57,34 9,66 L RNS-ICG-II 57,4 9,93 A RNS-LCG-I 57,5 30,06 S RNS-LCG-II 57,4 9,87 E RNS-MWCG-I 57,8 9,94 C RNS-MWCG-II 57, 30, RNS-SWBG-I 56,84 9,86 RNS-SWBG-II 57,3 9,88 Uifore 57,49 9,79 MWCG 57, 9,88 MTHR4 57,3 9,79 SWBMWC 53,89 9,87 Tabla 56 Valor edio y desviació stadard de la Trasforada Discreta de Fourier para series aleatorias geeradas ediate distitos procesos. 97

210 Geeradores pseudoaleatorios ediate el RNS Cálculo de la etropía y de la catidad de iforació Para los últios tres geeradores se aalizaro series de valores, dado que es el úero de iteracioes que realiza el prograa Makewhat. E tato que para los deás geeradores se toaro series de de putos. Todos úeros de 3 bits. Si ebargo, para teer u histograa razoableete distribuido, el estudio se realizo e 6 bits, es decir que para cada úero de la serie origial se toaro los 6 bits ás sigificativos y los 6 eos sigificativos coo si fuera úeros distitos. Si se trabajara directaete co 3 bits el prier histograa tedría que estar dividido e 3 itervalos, para lo que habría que geerar series extreadaete largas, co la esperaza de que al eos cada valor aparezca ua vez. Etropía Geerador Histograa de 0 a Histograa de 0 a 000 RNS-AWCG-I 0,9995,0000 RNS-AWCG-II 0,9995,0000 C RNS-ICG-I 0,9995,0000 L RNS-ICG-II 0,9995,0000 A RNS-LCG-I 0,9995,0000 S E RNS- LCG-II 0,9995,0000 RNS-MWCG-I 0,9995,0000 A RNS-MWCG-II 0,9995,0000 RNS-SWBG-I 0,9995,0000 RNS-SWBG-II 0,9995,0000 RNS-AWCG-I 0,9995,0000 RNS-AWCG-II 0,9995,0000 C RNS-ICG-I 0,9995,0000 L RNS-ICG-II 0,9995,0000 A RNS-LCG-I 0,9995,0000 S RNS-LCG-II 0,9995,0000 E RNS-MWCG-I 0,9995,0000 B RNS-MWCG-II 0,9995,0000 RNS-SWBG-I 0,9995,0000 RNS-SWBG-II 0,9995,0000 RNS-AWCG-I 0,9995,0000 RNS-AWCG-II 0,9995,0000 C RNS-ICG-I 0,9995,0000 L RNS-ICG-II 0,9995,0000 A RNS-LCG-I 0,9995,0000 S RNS- LCG-II 0,9995,0000 E RNS-MWCG-I 0,9995,0000 C RNS- MWCG-II 0,9995,0000 RNS-SWBG-I 0,9995,0000 RNS- SWBG-II 0,9995,0000 Uifore 0,9994,0000 MWCG 0,9986,0000 MTHR4 0,9987,0000 SWBMWC 0,9987,0000 Tabla 57 Cálculo de etropía. Prier histograa dividido e valores y el segudo e

211 Geeradores pseudoaleatorios ediate el RNS E la Tabla 57 se uestra los resultados obteidos. E el prier caso para u histograa dividido e itervalos y e el segudo e.000. E esta tabla se puede apreciar que los valores calculados se aproxia uy bie a los deseados, ás aú, o hay prácticaete diferecia etre los geeradores propuestos y los de referecia. Batería de test Diehard Para realizar este test se geeraro 0 series de de putos, de 3 bits, para cada GNPA. E los geeradores Clase A los archivos o tiee el iso taaño, puesto que e este caso se descarta aquellos valores superiores 3, el taaño de estos archivos está copredido etre los y los Mbytes. E los geeradores Clase B el taaño es e todos los casos de Mbytes. Fialete para los Clase C so todos de de bytes puesto que los prieros valores debe descartarse debido a la latecia del circuito de correcció. Los resultados obteidos para las 300 series so los que se uestra e las siguietes tablas. Siulació RNS-LCG-I RNS-LCG-II p p 0 0,4079 0, ,6937 0, ,4079 0, , , , , ,85 0, ,4566 0, ,4935 0, , , , ,693 Tabla 58 Valores de p calculados por Diehard para 0 series para los geeradores RNS-LCG-I y RNS- LCG-II Clase A. Siulació RNS-LCG-I RNS-LCG-II p p 0 0, , ,9075 0,6349 0, , , , , , , , , , ,0795 0, , , , , Tabla 59 Valores de p calculados por Diehard para 0 series para los geeradores RNS-LCG-I y RNS- LCG-II Clase B. 43 De los 9 valores para p calculados ediate el prograa Diehard sólo 4 queda fuera del rago p < 0,05 y p > 0,975. Y o fuero i, i

212 Geeradores pseudoaleatorios ediate el RNS Siulació RNS-LCG-I RNS-LCG-II p p 0 0,0530 0, ,3449 0, , , ,0595 0, , , , , , , , , , , ,4893 0, Tabla 60 Valores de p calculados por Diehard para 0 series para los geeradores RNS-LCG-I y RNS- LCG-II Clase C. Siulació RNS-MWCG-I RNS-MWCG-II p p 0 0, , , , , , , , , , , , , , , , , , , ,68668 Tabla 6 Valores de p calculados por Diehard para 0 series para los geeradores RNS-MWCG-I y RNS- MWCG-II Clase A. Siulació RNS-MWCG-I RNS-MWCG-II p p 0 0,7590 0,7995 0, , ,849 0, ,604 0, ,849 0, , , ,7337 0, , , ,9738 0, , ,8600 Tabla 6 Valores de p calculados por Diehard para 0 series para los geeradores RNS-MWCG-I y RNS- MWCG-II Clase B. 00

213 Geeradores pseudoaleatorios ediate el RNS Siulació RNS-MWCG-I RNS-MWCG-II p p 0 0, , , , , , , , , , , , , , ,05 0, , , ,575 0,40958 Tabla 63 Valores de p calculados por Diehard para 0 series para los geeradores RNS-MWCG-I y RNS- MWCG-II Clase C. Siulació RNS-ICG-I RNS-ICG-II p p 0 0, , , , , , ,7366 0, , , ,0359 0, , , , , ,3644 0, , , Tabla 64 Valores de p calculados por Diehard para 0 series para los geeradores RNS-ICG-I y RNS- ICG-II Clase A. Siulació RNS-ICG-I RNS-ICG-II p p 0 0, ,0977 0, , ,8306 0, , , , , , , ,6949 0, ,485 0,96 8 0, , ,564 0, Tabla 65 Valores de p calculados por Diehard para 0 series para los geeradores RNS-ICG-I y RNS- ICG-II Clase B. 0

214 Geeradores pseudoaleatorios ediate el RNS Siulació RNS-ICG-I RNS-ICG-II p p 0 0, , , , , ,3876 0, ,8853 0, ,595 0, , , ,3304 0, , , ,9469 0, Tabla 66 Valores de p calculados por Diehard para 0 series para los geeradores RNS-ICG-I y RNS- ICG-II Clase C. Siulació RNS-AWCG-I RNS-AWCG-II p p 0 0, , , , , , , , ,4098 0, ,7443 0, , , , , ,3670 0, , ,8304 Tabla 67 Valores de p calculados por Diehard para 0 series para los geeradores RNS-AWCG-I y RNS- AWCG-II Clase A. Siulació RNS-AWCG-I RNS-AWCG-II p p 0 0, ,5599 0, , ,6689 0, , , , , ,834 0, * 0, , , ,0989 0, , , Tabla 68 Valores de p calculados por Diehard para 0 series para los geeradores RNS-AWCG-I y RNS- AWCG-II Clase B. 0

215 Geeradores pseudoaleatorios ediate el RNS Siulació RNS-AWCG-I RNS-AWCG-II p p 0 0, , , , , , , , , * 5 0, , , , , , , , ,59 0,68544 Tabla 69 Valores de p calculados por Diehard para 0 series para los geeradores RNS-AWCG-I y RNS- AWCG-II Clase C. Siulació RNS-SWBG-I RNS-SWBG-II p p 0 0,7339 0, ,0980 0, ,8936 0, ,8965 0, ,6866 0, , , ,4400 0, , , , , , ,688 Tabla 70 Valores de p calculados por Diehard para 0 series para los geeradores RNS-SWBG-I y RNS- SWBG-II Clase A. Siulació RNS-SWBG-I RNS-SWBG-II p p * 0,7498 0, , , , ,9837 0, , , , , ,8530 0, , , , , ,9999 0,0770 Tabla 7 Valores de p calculados por Diehard para 0 series para los geeradores RNS-SWBG-I y RNS- SWBG-II Clase B. 03

216 Geeradores pseudoaleatorios ediate el RNS Siulació RNS-SWBG-I RNS-SWBG-II p p 0 0, , , , , , , , ,485 0, ,0760 0, , , , , ,3395 0, , ,5374 Tabla 7 Valores de p calculados por Diehard para 0 series para los geeradores RNS-SWBG-I y RNS- SWBG-II Clase C. Coo puede apreciarse las series pasa si probleas este test. E alguos casos, uy pocos, el valor de p o está copredido detro del rago deseado, si ebargo, coo se aclara e el software Diehard, cuado la serie realete falla se obtiee valores de, o 000 e 6 o ás lugares. Se ivestigó cada serie que fallo e pasar el test y tal coo se aclara e la ota al pie Nº 43 de los 9 valores de p e igú caso ocurrió que este toara el valor o 0, adeás uca se obtuviero ás de 5 valores de p fuera del rago p < 0,05 y p > 0,975. Se puede aseverar etoces que todos los geeradores propuestos, e sus distitas variates, pasa exitosaete ua de las baterías de test ás exigetes para la deteriació de aleatoriedad de ua serie de úeros. Estudio de la coplejidad estadística Tato para el estudio de la Coplejidad LMC coo para la Coplejidad MPR se toaro las isas series y se trabajó de la isa aera que e Cálculo de la etropía y de la catidad de iforació. Por lo tato para los últios tres geeradores se aalizaro series de valores, dado que es el úero de iteracioes que realiza el prograa Makewhat. E tato que para los deás geeradores se toaro series de de putos. Todos úeros de 3 bits. Si ebargo, para teer u histograa razoableete distribuido, el estudio se realizo e 6 bits, es decir que para cada úero de la serie origial se toaro los 6 bits ás sigificativos y los 6 eos sigificativos coo si fuera úeros distitos. Si se trabajara directaete co 3 bits el prier histograa tedría que estar dividido e 3 itervalos, para lo que habría que geerar series extreadaete largas, co la esperaza de que al eos cada valor aparezca ua vez. Los resultados se puede ver e la Tabla 73 y e la Tabla 74. Los valores obteidos so cosistetes co la hipótesis de o existecia, o e rigor, de o poder detectar estructuras e las secuecias, tabié so coheretes co los resultados obteidos para los geeradores de referecia. 04

217 Geeradores pseudoaleatorios ediate el RNS Coplejidad LMC Geerador Histograa de 0 a Histograa de 0 a 000 RNS-AWCG-I,6760x0-7,06x0-7 RNS-AWCG-II,685x0-7,73x0-7 C RNS-ICG-I,670x0-7,4566x0-7 L RNS-ICG-II,658x0-7,599x0-7 A RNS-LCG-I,6760x0-7,06x0 S -7 E RNS-LCG-II,685x0-7,73x0-7 RNS-MWCG-I,6756x0-7,088x0-7 A RNS-MWCG-II,6797x0-7,3436x0-7 RNS-SWBG-I,6558x0-7,0760x0-7 RNS-SWBG-II,675x0-7,378x0-7 RNS-AWCG-I,6664x0-7,3x0-7 RNS-AWCG-II,6744x0-7,34x0-7 C RNS-ICG-I,6764x0-7,696x0-7 L RNS-ICG-II,67x0-7,349x0-7 A RNS-LCG-I,677x0-7,04x0-7 S RNS-LCG-II,6757x0-7,758x0 E -7 RNS-MWCG-I,6688x0-7,86x0-7 B RNS-MWCG-II,6768x0-7,36x0-7 RNS-SWBG-I,6707x0-7,68x0-7 RNS-SWBG-II,6765x0-7,806x0-7 RNS-AWCG-I,666x0-7,0375x0-7 RNS-AWCG-II,6609x0-7,380x0-7 C RNS-ICG-I,6757x0-7,344x0-7 L RNS-ICG-II,6675x0-7,50x0-7 A RNS-LCG-I,677x0-7,3354x0-7 S RNS-LCG-II,6758x0-7,396x0 E -7 RNS-MWCG-I,6680x0-7,683x0-7 C RNS-MWCG-II,6636x0-7,36x0-7 RNS-SWBG-I,677x0-7,78x0-7 RNS-SWBG-II,6774x0-7,66x0-7 Uifore,669x0-7,6887x0-7 MWCG,7963x0-7,4893x0-7 MTHR4,805x0-7,3899x0-7 SWBMWC,808x0-7,474x0-7 Tabla 73 Coplejidad segú López-Macii-Calbet para distitos GNPA, para dos histograas. Uo co valores distitos y otro para

218 Geeradores pseudoaleatorios ediate el RNS Coplejidad MPR Geerador Histograa de 0 a Histograa de 0 a 000 RNS-AWCG-I 0, RNS-AWCG-II 0, C RNS-ICG-I 0, L RNS-ICG-II 0, A RNS-LCG-I 0, S E RNS-LCG-II 0, RNS-MWCG-I 0, A RNS-MWCG-II 0, RNS-SWBG-I 0, RNS-SWBG-II 0, RNS-AWCG-I 0, RNS-AWCG-II 0, C RNS-ICG-I 0, L RNS-ICG-II 0, A RNS-LCG-I 0, S RNS-LCG-II 0, E RNS-MWCG-I 0, B RNS-MWCG-II 0, RNS-SWBG-I 0, RNS-SWBG-II 0, RNS-AWCG-I 0, RNS-AWCG-II 0, C RNS-ICG-I 0, L RNS-ICG-II 0, A RNS-LCG-I 0, S RNS-LCG-II 0, E RNS-MWCG-I 0, C RNS-MWCG-II 0, RNS-SWBG-I 0, RNS-SWBG-II 0, Uifore 0, MWCG 0, MTHR4 0, SWBMWC 0, Tabla 74 Coplejidad segú Marti-Plastio-Rosso para distitos GNPA, para dos histograas. Uo co valores distitos y otro para.000. Coplejidad zippig Para el cálculo de la Coplejidad zippig se toaro las isa series, 300, que para el estudio del test Diehard. E los geeradores Clase A, coo se explicó, o se obtiee archivos de la isa logitud, debido al descarte, e tato que, e los Clase B y C todos tiee el iso úero de bytes. 06

219 Geeradores pseudoaleatorios ediate el RNS E las Tabla 75 a la Tabla 79 se uestra los resultados del cálculo de la Coplejidad zippig para los geeradores Clase A. Se puede apreciar que e todos los casos es ligeraete ayor a uo. Esto se debe a que la relació de copresió obteida es del 0%, es decir icopriible, pero adeás al archivo copriido se le agrega alguos bytes de iforació adicioales, coo por ejeplo el obre del archivo coteido. Siulació RNS-LCG-I RNS-LCG-II Coplejidad zippig Coplejidad zippig 0,0006,00064,0006,00069,00069, ,00064, ,00064, ,0006,0006 6,0006,0006 7,0006,0006 8,00065, ,00065,00066 Tabla 75 Coplejidad zippig de 0 series para los geeradores RNS-LCG-I y RNS-LCG-II Clase A. Siulació RNS-MWCG-I RNS-MWCG-II Coplejidad zippig Coplejidad zippig 0,0006,0006,0006,0006,0006,0006 3,0006, ,0006,0006 5,0006,0006 6,0006,0006 7,0006,0006 8,0006,0006 9,0006,0006 Tabla 76 Coplejidad zippig de 0 series para los geeradores RNS-MWCG-I y RNS-MWCG-II Clase A. Siulació RNS-ICG-I RNS-ICG-II Coplejidad zippig Coplejidad zippig 0,0006,0006,0006,0006,0006,0006 3,0006, ,0006,0006 5,00063,0006 6,0006,0006 7,0006,0006 8,00063,0006 9,0006,0006 Tabla 77 Coplejidad zippig de 0 series para los geeradores RNS-ICG-I y RNS-ICG-II Clase A. 07

220 Geeradores pseudoaleatorios ediate el RNS Siulació RNS-AWCG-I RNS-AWCG-II Coplejidad zippig Coplejidad zippig 0,0006,0006,0006,0006,00063,0006 3,0006,0006 4,0006,0006 5,0006, ,0006,0006 7,0006,0006 8,00064,0006 9,0006,0006 Tabla 78 Coplejidad zippig de 0 series para los geeradores RNS-AWCG-I y RNS-AWCG-II Clase A. Siulació RNS-SWBG-I RNS-SWBG-II Coplejidad zippig Coplejidad zippig 0,0006,0006,0006,0006,0006, ,0006, ,0006,0006 5,0006,0006 6,0006, ,0006,0006 8,0006,0006 9,0006,0006 Tabla 79 Coplejidad zippig de 0 series para los geeradores RNS-SWBG-I y RNS-SWBG-II Clase A. Para los geeradores Clase B la logitud de todos los archivos si copriir es de de bytes. E todos los casos la relació de copresió es del 0% y el archivo copactado ocupa bytes. Co lo que se obtiee coo resultado: Coplejidad zippig =, Los archivos so icopriibles ediate este procediieto. Fialete los geeradores Clase C tiee todos ua logitud de bytes si copriir. Para cada serie la relació de copresió es del 0% y el archivo copactado ocupa bytes. Nuevaete los algoritos que eplea el prograa WiZip o logra copriir a los archivos presetados. El resultado es: Coplejidad zippig =, Cóputo del expoete de Hurst Para el cálculo del expoete de Hurst se trabajó e cada serie co putos de 3 bits cada uo. E cálculo se realizó de aera tal que se pudiera graficar 500 putos sobre los ejes log(r/s) log(nº de observacioes) a fi de lograr resultados co poco error. 08

221 Geeradores pseudoaleatorios ediate el RNS C L A S E A C L A S E B C L A S E C Geerador Expoete de Hurst RNS-AWCG-I 0,53606 RNS-AWCG-II 0,5546 RNS-ICG-I 0,508 RNS-ICG-II 0,5445 RNS-LCG-I 0,5967 RNS-LCG-II 0,5944 RNS-MWCG-I 0,5094 RNS-MWCG-II 0,57 RNS-SWBG-I 0,564 RNS-SWBG-II 0,50706 RNS-AWCG-I 0,5686 RNS-AWCG-II 0,5355 RNS-ICG-I 0,50739 RNS-ICG-II 0,59 RNS-LCG-I 0,59 RNS-LCG-II 0,534 RNS-MWCG-I 0,5394 RNS-MWCG-II 0,547 RNS-SWBG-I 0,5465 RNS-SWBG-II 0,567 RNS-AWCG-I 0,5356 RNS-AWCG-II 0,5965 RNS-ICG-I 0,50670 RNS-ICG-II 0,5476 RNS-LCG-I 0,5033 RNS-LCG-II 0,59 RNS-MWCG-I 0,5348 RNS-MWCG-II 0,5093 RNS-SWBG-I 0,575 RNS-SWBG-II 0,5897 Uifore 0,5689 MWCG 0,566 MTHR4 0,538 SWBMWC 0,553 Tabla 80 Expoete de Hurst para cada uo de los geeradores. Para el cálculo de H se realizó u prograa segú el algorito descripto e [PET9] y [PET95] e leguaje C. Nótese que, aú para las series testigo, H o es igual a 0,5 sio que está alrededor de 0,5. Este pequeño apartaieto puede deberse, ver Figura 7, a que la gráfica se va apartado de ua líea recta a edida que aueta el úero de observacioes, esto se debe a que se proedia sobre u úero eor de iveles de agregació. Por lo que e geeral para el cálculo de la recta por el étodo de íios cuadrados se descarta los valores ayores de la gráfica. Al o existir u criterio claro e la bibliografía que diga sobre que rago de valores hay que trabajar se toaro todos. Para cotrastar los resultados se realizaro los isos cálculos co el prograa H.A.T.A.A. (Herraieta de Aálisis de Trafico Teleático Autoseejate) realizado por José Nadal Bravo, de la Uiversidad de Málaga, y el script para MatLab hecho por Guiovaa 09

222 Geeradores pseudoaleatorios ediate el RNS Paola Sabogal Alfaro y José Félix Vega Stavro de la Fudació Uiversitaria Mauela Beltrá, Colobia. E el prier caso todos los valores de H estuviero e el etoro de 0,53, e el segudo, alrededor de 0,46. La discrepacia se puede deber a que e estos casos el algorito de cálculo es ás veloz pero aproxiado. EJEMPLO DE APLICACIÓN DE LOS GENERADORES PROPUESTOS Para ejeplificar el epleo de los geeradores propuestos se toó su uso e el ecriptado de iágees. El algorito de ecriptaieto es el siguiete. Se too la iage e el forato BMP (Bit MaP) de la Figura 4 y se realizó la operació orexclusiva bit a bit etre ua de las series del geerador RNS-LCG-I y el coteido de la iage. La iforació de cabecera, a fi de poder ver el resultado e cualquier visualizador de este tipo de iágees, se dejó ialterada. El resultado se puede ver e la Figura 43. Si bie existe ecaisos de ecriptado ucho ás elaborados que el presetado aquí, se usa este por su secillez y al sólo objeto de ostrar cualitativaete ua de las aplicacioes de los GNPAs. Figura 4 Iage e el forato BMP a ecriptar. 0

223 Geeradores pseudoaleatorios ediate el RNS Figura 43 Iage aterior ecriptada. ANÁLISIS CIRCUITAL DE LOS GNPAs PROPUESTOS Coo resultado de los estudios realizados e los CAPÍTULO. TÉCNICAS DE CONVERSIÓN BINARIO-RNS-BINARIO y CAPÍTULO 3. OPERACIONES ARITMÉTICAS MEDIANTE RESIDUOS es fácil deteriar la coplejidad de cada GNPA y su frecuecia de fucioaieto siguiedo los siguietes pasos (ver las Figura 3 a la Figura 37 ): Puesto que el coversor RNS a biario ecesita eleetos de eoria, para la elecció de los bloques aritéticos se toaro aquellos que se siularo e la failia FLEX y utilizado la técica de segetació. Los bloques aritéticos elegidos so el suador híbrido ediate tabla de búsqueda y el ultiplicador idexado. Abos eplea bloques de eoria para su sítesis. Para los GNPAs propuestos e los que se debe realizar la suatoria de la salida de todos los caales eos el propio, se eplea ua cadea de suadores biarios co segetació, se resta el valor del caal correspodiete y se toa ódulo ediate ua tabla de búsqueda. Esta estrategia eplea eos hardware que realizar la suatoria para cada caal de aera idepediete. E los geeradores e los que hay que calcular la or exclusiva bit a bit de la salida de todos los caales, eos el propio, y realietar el resultado se procede de la siguiete fora: se coputa la or exclusiva de todos los caales y ates de realietar se hace la or exclusiva co la salida del caal al que se realieta. Al igual que co la sua esto requiere eos hardware que realizar el cálculo para cada caal de aera idepediete. A los geeradores Clase C hay que agregarle el hardware correspodiete al circuito de correcció.

Permutaciones y combinaciones

Permutaciones y combinaciones Perutacioes y cobiacioes Cotaos posibilidades Coezaos co u secillo ejeplo E España los coches tiee ua atrícula que costa de cuatro dígitos deciales seguidos de tres letras sacadas de u alfabeto de 26 Cuátas

Más detalles

Solución del examen de Investigación Operativa de Sistemas de septiembre de 2004

Solución del examen de Investigación Operativa de Sistemas de septiembre de 2004 Solució del eame de Ivestigació Operativa de Sistemas de septiembre de 4 Problema (,5 putos: Ua marca de cereales para el desayuo icluye u muñeco de regalo e cada caja de cereales. Hay tres tipos distitos

Más detalles

ÁREA DE INGENIERÍA QUÍMICA Prof. Isidoro García García. Operaciones Básicas de Transferencia de Materia. Tema 4

ÁREA DE INGENIERÍA QUÍMICA Prof. Isidoro García García. Operaciones Básicas de Transferencia de Materia. Tema 4 ÁRE DE IGEIERÍ QUÍMIC Operacioes Básicas de Trasferecia de Materia Tea 4 Operacioes Básicas de Trasferecia de Materia ITRODUCCIÓ a aoría de las corrietes de u proceso quíico está costituidas por varios

Más detalles

OPERACIONES CON POLINOMIOS.

OPERACIONES CON POLINOMIOS. OPERACIONES CON POLINOMIOS. EXPRESIONES ALGEBRAICAS. Ua epresió ateática que usa úeros o variables o abos para idicar productos o cocietes es u tério. Los térios,, (ab), so todos epresioes algebraicas.

Más detalles

MODELOS DE PROBABILIDAD

MODELOS DE PROBABILIDAD 3 MODELOS DE PROBABILIDAD.- VARIABLES ALEATORIAS DISCRETAS E ocasioes, alguas variables aleatorias sigue distribucioes de probabilidad uy cocretas, coo por ejeplo el estudio a u colectivo ueroso de idividuos

Más detalles

5. Aproximación de funciones: polinomios de Taylor y teorema de Taylor.

5. Aproximación de funciones: polinomios de Taylor y teorema de Taylor. GRADO DE INGENIERÍA AEROESPACIAL. CURSO 00. Lecció. Fucioes y derivada. 5. Aproimació de fucioes: poliomios de Taylor y teorema de Taylor. Alguas veces podemos aproimar fucioes complicadas mediate otras

Más detalles

CONVEXIDAD R 2. Conjuntos convexos. Combinación lineal convexa de m puntos. λ x. Ejemplos de conjuntos convexos en R 2

CONVEXIDAD R 2. Conjuntos convexos. Combinación lineal convexa de m puntos. λ x. Ejemplos de conjuntos convexos en R 2 Cojutos coveos Ejeplos de cojutos coveos e R CONVEXIDAD Cojutos coveos Coveidad de fucioes DEFINICION: U cojuto A es coveo cuado, y A y λ [0,] se cuple λ + ( λ) y A R λ + ( λ) y λ = / y λ = 0 Cojuto coveo:

Más detalles

Tema 5: Organización de la memoria: memoria principal.

Tema 5: Organización de la memoria: memoria principal. Objetivos: Tea 5: Orgaizació de la eoria: eoria pricipal Coocer las características geerales de los diferetes tipos de eoria que aparece e u coputador digital y aalizar la ecesidad de su orgaizació jerárquica

Más detalles

COLEGIO CRISTIANA FERNÁNDEZ DE MERINO Trípoli No. 112, Col. Portales, México, D. F. Tel. 5604-3628, 5605-1509

COLEGIO CRISTIANA FERNÁNDEZ DE MERINO Trípoli No. 112, Col. Portales, México, D. F. Tel. 5604-3628, 5605-1509 COLEGIO CRISTIANA FERNÁNDEZ DE MERINO Trípoli No. 112, Col. Portales, México, D. F. Tel. 5604-3628, 5605-1509 MATEMATICAS SEGUNDO GRADO SECCIÓN SECUNDARIA ACTIVIDADES PARA DESARROLLAR EN CLASE CURSO 2015-2016

Más detalles

2. LEYES FINANCIERAS.

2. LEYES FINANCIERAS. TEMA 1: CONCEPTOS PREVIOS 1. INTRODUCCIÓN. Se va a aalizar los itercambios fiacieros cosiderado u ambiete de certidumbre. El itercambio fiaciero supoe que u agete etrega a otro u capital (o capitales),

Más detalles

1. Lección 11 - Operaciones Financieras a largo plazo - Préstamos (Continuación)

1. Lección 11 - Operaciones Financieras a largo plazo - Préstamos (Continuación) Aputes: Matemáticas Fiacieras 1. Lecció 11 - Operacioes Fiacieras a largo plazo - Préstamos (Cotiuació) 1.1. Préstamo: Método de cuotas de amortizació costates E este caso se verifica A 1 = A 2 = = A =

Más detalles

ESTADÍSTICA DESCRIPTIVA: UNA VARIABLE Julián de la Horra Departamento de Matemáticas U.A.M.

ESTADÍSTICA DESCRIPTIVA: UNA VARIABLE Julián de la Horra Departamento de Matemáticas U.A.M. ESTADÍSTICA DESCRIPTIVA: UNA VARIABLE Juliá de la Horra Departameto de Matemáticas U.A.M. 1 Itroducció Cuado estamos iteresados e estudiar algua característica de ua població (peso, logitud de las hojas,

Más detalles

CRITERIOS DE DECISIÓN EN LA EVALUACION DE PROYECTOS

CRITERIOS DE DECISIÓN EN LA EVALUACION DE PROYECTOS CRITERIOS DE DECISIÓN EN LA EVALUACION DE PROYECTOS Curso Preparació y Evaluació Social de Proyectos Sistema Nacioal de Iversioes Divisió de Evaluació Social de Iversioes MINISTERIO DE DESARROLLO SOCIAL

Más detalles

Ejercicios Resueltos ADC / DAC

Ejercicios Resueltos ADC / DAC Curso: Equipos y Sistemas de Cotrol Digital Profesor: Felipe Páez M. Programa: Automatizació, espertio, 010 Problemas Resueltos: Ejercicios Resueltos ADC / DAC ersió 1.1 1. Se tiee u DAC ideal de 10 bits,

Más detalles

Matemáticas I - 1 o BACHILLERATO Binomio de Newton

Matemáticas I - 1 o BACHILLERATO Binomio de Newton Matemáticas I - o Bachillerato Matemáticas I - o BACHILLERATO El biomio de Newto es ua fórmula que se utiliza para hacer el desarrollo de la potecia de u biomio elevado a ua potecia cualquiera de expoete

Más detalles

Capítulo 2. Operadores

Capítulo 2. Operadores Capítulo 2 Operadores 21 Operadores lieales 22 Fucioes propias y valores propios 23 Operadores hermitiaos 231 Delta de Kroecker 24 Notació de Dirac 25 Operador Adjuto 2 Operadores E la mecáica cuática

Más detalles

Capítulo 5 Distribución de esfuerzos en el suelo debido a cargas

Capítulo 5 Distribución de esfuerzos en el suelo debido a cargas Capítulo 5 Distribució de esfueros e el suelo debido a cargas 5. INTRODUCCIÓN Coo ya se ha explicado ateriorete ua cietació tiee el trabajo de trasferir las cargas de la estructura al suelo, cuado esto

Más detalles

BINOMIO DE NEWTON página 171 BINOMIO DE NEWTON

BINOMIO DE NEWTON página 171 BINOMIO DE NEWTON págia 171 Los productos otables tiee la fialidad de obteer el resultado de ciertas multiplicacioes si hacer dichas multiplicacioes. Por ejemplo, cuado se desea multiplicar los biomios cojugados siguietes:

Más detalles

1 Sucesiones. Ejemplos. a n = n a n = n! a n = n n. a n = p n. a n = 2n3 + n 2 + 5 n 2 + 8. a n = ln(n)

1 Sucesiones. Ejemplos. a n = n a n = n! a n = n n. a n = p n. a n = 2n3 + n 2 + 5 n 2 + 8. a n = ln(n) 1 Sucesioes De ició. Ua sucesió, a, es ua fució que tiee como domiio el cojuto de los úmeros aturales y como cotradomiio el cojuto de los úmeros reales: a : N! R. Se usa la siguiete otació: a () = a :

Más detalles

Progresiones. Objetivos. Antes de empezar. 1.Sucesiones.. pág. 74 Definición. Regla de formación Término general

Progresiones. Objetivos. Antes de empezar. 1.Sucesiones.. pág. 74 Definición. Regla de formación Término general 5 Progresioes Objetivos E esta quicea aprederás a: Recoocer ua sucesió de úmeros. Recoocer y distiguir las progresioes aritméticas y geométricas. Calcular él térmio geeral de ua progresió aritmética y

Más detalles

UNIVERSIDAD DE ATACAMA

UNIVERSIDAD DE ATACAMA UNIVERSIDAD DE ATACAMA FACULTAD DE INGENIERÍA / DEPARTAMENTO DE MATEMÁTICA ESTADÍSTICA Y PROBABILIDADES PAUTA DE CORRECCIÓN PRUEBA PARCIAL N o 3 Profesor: Hugo S. Salias. Primer Semestre 2012 1. El ivel

Más detalles

CONCEPTOS BÁSICOS DE PRESTAMOS.

CONCEPTOS BÁSICOS DE PRESTAMOS. GESTIÓN FINANCIERA. TEMA 8º. PRESTAMOS. 1.- Coceptos básicos de préstamos. CONCEPTOS BÁSICOS DE PRESTAMOS. Coceptos básicos de prestamos. Préstamo. U préstamo es la operació fiaciera que cosiste e la etrega,

Más detalles

MC Fco. Javier Robles Mendoza Primavera 2009

MC Fco. Javier Robles Mendoza Primavera 2009 1 BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA COMPUTACIÓN APUNTES CURSO: ALGEBRA SUPERIOR INGENIERIA EN CIENCIAS DE LA COMPUTACIÓN MC Fco. Javier Robles Medoza Primavera 2009 2

Más detalles

PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E

PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E CURSO.-.3 - CONVOCATORIA: MATEMÁTICAS APLICADAS A LAS CIENCIAS SOCIALES - Cada alumo debe elegir sólo ua de las pruebas (A o B) y, detro de ella, sólo debe

Más detalles

FEE02-15 FÓRMULAS Y EJEMPLOS. Incluye a los productos:

FEE02-15 FÓRMULAS Y EJEMPLOS. Incluye a los productos: FEE02-5 FÓRMULAS Y EJEMPLOS cluye a los productos: - Epresariales - Credifácil - El tiepo vale oro - Micro agropecuario - Agro crédito - Credigaadero - Credicostruye - Mi terreito - Multioficios - Crédito

Más detalles

Tema 6. Sucesiones y Series. Teorema de Taylor

Tema 6. Sucesiones y Series. Teorema de Taylor Nota: Las siguietes líeas so u resume de las cuestioes que se ha tratado e clase sobre este tema. El desarrollo de todos los tópicos tratados está recogido e la bibliografía recomedada e la Programació

Más detalles

REVISIÓN DE ALGUNOS INDICADORES PARA MEDIR LA DESIGUALDAD XAVIER MANCERO CEPAL

REVISIÓN DE ALGUNOS INDICADORES PARA MEDIR LA DESIGUALDAD XAVIER MANCERO CEPAL 375 REVISIÓN DE ALGUNOS INDICADORES PARA MEDIR LA DESIGUALDAD XAVIER MANCERO CEPAL 376 Revisió de alguos idicadores para medir desigualdad Medidas de Desigualdad Para medir el grado de desigualdad e la

Más detalles

4.1. Introducción a la Programación Lineal Entera (PLE)

4.1. Introducción a la Programación Lineal Entera (PLE) C APÍTULO 4 PROGRAMACIÓN ENTERA 4.. Itroducció a la Prograació Lieal Etera (PLE) Los prieros itetos para resolver u problea de prograació lieal etera surgiero de la etodología utilizada e la resolució

Más detalles

UNIDAD Nº 2. Leyes financieras: Interés simple. Interés compuesto. Descuento.

UNIDAD Nº 2. Leyes financieras: Interés simple. Interés compuesto. Descuento. UNIDAD Nº 2 Leyes fiacieras: Iterés simple. Iterés compuesto. Descueto. 2.1 La Capitalizació simple o Iterés simple 2.1.1.- Cocepto de Capitalizació simple Es la Ley fiaciera segú la cual los itereses

Más detalles

PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E

PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E CURSO.001-.00 - CONVOCATORIA: SEPTIEMBRE MATEMÁTICAS APLICADAS A LAS CIENCIAS SOCIALES - Cada alumo debe elegir sólo ua de las pruebas (A o B) y, detro de ella,

Más detalles

DISTRIBUCION DE FRECUENCIA (DATOS AGRUPADOS)

DISTRIBUCION DE FRECUENCIA (DATOS AGRUPADOS) Los valores icluidos e u grupo de datos usualmete varía e magitud; alguos de ellos so pequeños y otros so grades. U promedio es u valor simple, el cual es cosiderado como el valor más represetativo o típico

Más detalles

Algoritmos no sistólicos para la multiplicación de matrices en FPGA s

Algoritmos no sistólicos para la multiplicación de matrices en FPGA s Algoritos o sistólicos para la ultiplicació de atrices e FPGA s Algoritos o sistólicos para la ultiplicació de atrices e FPGA s Igacio Bravo, Pedro Jiéez, Mauel Mazo, José Luis Lázaro, J. Javier de las

Más detalles

Señales y sistemas discretos (1) Transformada Z. Definiciones

Señales y sistemas discretos (1) Transformada Z. Definiciones Trasformada Z La trasformada Z es u método para tratar fucioes discretas e el tiempo El papel de la trasformada Z e sistemas discretos e el tiempo es similar al de la trasformada de Laplace e sistemas

Más detalles

Análisis de Señales y Sistemas Digitales. Concepto Algoritmo Implementación

Análisis de Señales y Sistemas Digitales. Concepto Algoritmo Implementación Aálisis de Señales y Sistemas Digitales FFT Cocepto Algoritmo Implemetació 2010 FFT Trasformada Rápida de Fourier Cocepto La trasformada rápida de fourier (FFT) es u algoritmo que permite él cálculo eficiete

Más detalles

Transformada Z. Transformada Z. Señales y sistemas discretos (1) Señales y sistemas discretos (2)

Transformada Z. Transformada Z. Señales y sistemas discretos (1) Señales y sistemas discretos (2) Trasformada Z La trasformada Z es u método tratar fucioes discretas e el tiempo El papel de la trasformada Z e sistemas discretos e el tiempo es similar al de la trasformada de Laplace e sistemas cotiuos

Más detalles

REGÍMENES FINANCIEROS

REGÍMENES FINANCIEROS EGÍMEES FIAIEOS are Badía, Hortèsia Fotaals, Merche Galisteo, José Mª Lecia, Mª Agels Pos, Teresa Preixes, Dídac aírez, F. Javier Sarrasí y Aa Mª Sucarrats DEPATAMETO DE MATEMÁTIA EOÓMIA, FIAIEA Y ATUAIAL

Más detalles

Unidad Central del Valle del Cauca Facultad de Ciencias Administrativas, Económicas y Contables Programa de Contaduría Pública

Unidad Central del Valle del Cauca Facultad de Ciencias Administrativas, Económicas y Contables Programa de Contaduría Pública Uidad Cetral del Valle del Cauca acultad de Ciecias Admiistrativas, Ecoómicas y Cotables Programa de Cotaduría Pública Curso de Matemáticas iacieras Profesor: Javier Herado Ossa Ossa Ejercicios resueltos

Más detalles

Programación Entera (PE)

Programación Entera (PE) Programació Etera (PE) E geeral, so problemas de programació lieal (PPL), e dode sus variables de decisió debe tomar valores eteros. Tipos de PE Cuado se requiere que todas las variables de decisió tome

Más detalles

ANÁLISIS DEL PROBLEMA DE LOS MONOS Y LOS COCOS. (Resolución por JMEB.)

ANÁLISIS DEL PROBLEMA DE LOS MONOS Y LOS COCOS. (Resolución por JMEB.) ANÁLISIS DEL PROBLEMA DE LOS MONOS Y LOS OOS. (Resolució por JMEB.) 1. Defiició. El problema cosiste e calcular la catidad de cocos que había iicialmete e u motó que... ierto día se reuiero moos para recoger

Más detalles

Medidas de Tendencia Central

Medidas de Tendencia Central EYP14 Estadística para Costrucció Civil 1 Medidas de Tedecia Cetral La Media La media (o promedio) de ua muestra x 1, x,, x de tamaño de ua variable o característica x, se defie como la suma de todos los

Más detalles

Guía de servicio al cliente VAIO-Link

Guía de servicio al cliente VAIO-Link Guía de servicio al cliete VAIO-Lik "Tratamos cada problema de cada cliete co cuidado, ateció y respecto y queremos que todos uestros clietes se sieta bie sobre la experiecia que tiee co VAIO-Lik." Guía

Más detalles

UNIVERSIDAD DE GUADALAJARA

UNIVERSIDAD DE GUADALAJARA CETRO IVERSITARIO DE CIECIAS EACTAS E IGEIERÍAS SECRETARIA ACADÉICA DIVISIÓ DE IGEIERÍAS DEPARTAETO DE IGEIERÍA IDSTRIAL ACADEIA DE SISTEAS DE PRODCCIO PROGRAA DE ESTDIO: IGEIERIA DE PRODCCIO II SISTEA

Más detalles

Abel Martín LAS FRACCIONES. - Las fracciones como parte de un todo - Egipto les espera

Abel Martín LAS FRACCIONES. - Las fracciones como parte de un todo - Egipto les espera LAS FRACCIONES - Las fraccioes como parte de u todo - Nuestros amigos prueba su máquia del tiempo. Egipto les espera Despegamos! E la evolució del pesamieto humao, 000 años a. C., los egipcios comieza

Más detalles

11. TRANSFORMADOR IDEAL

11. TRANSFORMADOR IDEAL . TAFOMADO DEA.. TODUCCÓ Cuado el flujo magético producido por ua bobia alcaza ua seguda bobia se dice que existe etre las dos bobias u acople magético, ya que el campo magético variable que llega a la

Más detalles

Propuesta A. { (x + 1) 4. Se considera la función f(x) =

Propuesta A. { (x + 1) 4. Se considera la función f(x) = Pruebas de Acceso a Eseñazas Uiversitarias Oficiales de Grado (0) Materia: MATEMÁTICAS APLICADAS A LAS CIENCIAS SOCIALES II El alumo deberá cotestar a ua de las dos opcioes propuestas A o B. Se podrá utilizar

Más detalles

Transformaciones Lineales

Transformaciones Lineales Trasformacioes Lieales 1 Trasformacioes Lieales Las trasformacioes lieales iterviee e muchas situacioes e Matemáticas y so alguas de las fucioes más importates. E Geometría modela las simetrías de u objeto,

Más detalles

A = 1. Demuestra que P (1) es cierta. 2. Demuestra que si P (h) es cierta, entonces P (h + 1) es cierta.

A = 1. Demuestra que P (1) es cierta. 2. Demuestra que si P (h) es cierta, entonces P (h + 1) es cierta. . POTENCIAS DE MATRICES CUADRADAS E este capítulo vamos a tratar de expoer distitas técicas para hallar las potecias aturales de matrices cuadradas. Esta cuestió es de gra importacia y tiee muchas aplicacioes

Más detalles

1.1. Campos Vectoriales.

1.1. Campos Vectoriales. 1.1. Campos Vectoriales. Las fucioes, ampliamete empleadas e la igeiería, para modelar matemáticamete y caracterizar magitudes físicas, y cuyo domiio podría ser multidimesioal, puede teer u rago uidimesioal

Más detalles

Análisis de datos en los estudios epidemiológicos II

Análisis de datos en los estudios epidemiológicos II Aálisis de datos e los estudios epidemiológicos II Itroducció E este capitulo cotiuamos el aálisis de los estudios epidemiológicos cetrádoos e las medidas de tedecia cetral, posició y dispersió, ídices

Más detalles

UNIDAD 8 MODELO DE ASIGNACIÓN. características de asignación. método húngaro o de matriz reducida.

UNIDAD 8 MODELO DE ASIGNACIÓN. características de asignación. método húngaro o de matriz reducida. UNIDAD 8 MODELO DE ASIGNACIÓN características de asigació. método húgaro o de matriz reducida. Ivestigació de operacioes Itroducció U caso particular del modelo de trasporte es el modelo de asigació,

Más detalles

SELECTIVIDAD ANDALUCÍA MATEMÁTICAS CCSS SOBRANTES 2008 (MODELO 5)

SELECTIVIDAD ANDALUCÍA MATEMÁTICAS CCSS SOBRANTES 2008 (MODELO 5) IES Fco Ayala de Graada Sobrates de 008 (Modelo 5) Germá-Jesús Rubio Lua SELECTIVIDAD ANDALUCÍA MATEMÁTICAS CCSS SOBRANTES 008 (MODELO 5) OPCIÓN A EJERCICIO 1_A De las restriccioes que debe cumplir las

Más detalles

Práctica 6: Vectores y Matrices (I)

Práctica 6: Vectores y Matrices (I) Foamets d Iformàtica 1r curs d Egiyeria Idustrial Práctica 6: Vectores y Matrices (I) Objetivos de la práctica El objetivo de las prácticas 6 y 7 es itroducir las estructuras de datos vector y matriz e

Más detalles

IES SANTIAGO RAMÓN Y CAJAL. PRIMER TRIMESTRE. EJERCICIOS DE REPASO.

IES SANTIAGO RAMÓN Y CAJAL. PRIMER TRIMESTRE. EJERCICIOS DE REPASO. IES SANTIAGO RAMÓN Y CAJAL PRIMER TRIMESTRE EJERCICIOS DE REPASO Falta ejercicios del Tea Estos ejercicios so eraete orietativos - Hallar los siguietes líites: a) b) c) - E ua progresió geoétrica sabeos

Más detalles

Ejercicio 1. Sea el recinto limitado por las siguientes inecuaciones: y + 2x 2; 2y 3x 3; 3y x 6.

Ejercicio 1. Sea el recinto limitado por las siguientes inecuaciones: y + 2x 2; 2y 3x 3; 3y x 6. Materiales producidos e el curso: Curso realizado e colaboració etre la Editorial Bruño y el IUCE de la UAM de Madrid del 1 de marzo al 30 de abril de 013 Título: Curso Moodle para matemáticas de la ESO

Más detalles

METODOLOGÍA UTILIZADA EN LA ELABORACIÓN DEL ÍNDICE DE PRECIOS AL POR MAYOR EN LA REPÚBLICA DE PANAMÁ I. GENERALIDADES

METODOLOGÍA UTILIZADA EN LA ELABORACIÓN DEL ÍNDICE DE PRECIOS AL POR MAYOR EN LA REPÚBLICA DE PANAMÁ I. GENERALIDADES METODOLOGÍA UTILIZADA EN LA ELABORACIÓN DEL ÍNDICE DE PRECIOS AL POR MAYOR EN LA REPÚBLICA DE PANAMÁ I. GENERALIDADES La serie estadística de Ídice de Precios al por Mayor se iició e 1966, utilizado e

Más detalles

DEPARTAMENTO DE MATEMÁTICAS Mate1203 Cálculo Diferencial Parcial 3 (27/10/2010)

DEPARTAMENTO DE MATEMÁTICAS Mate1203 Cálculo Diferencial Parcial 3 (27/10/2010) UNIVERSIDAD DE LOS ANDES DEPARTAMENTO DE MATEMÁTICAS Mate1203 Cálculo Diferecial Parcial 3 (27/10/2010) 1. Cosidere la fució f (x) = 3(x 1) 2/3 (x 1) 2 a) Halle el domiio b) Ecuetre los putos críticos,

Más detalles

Límite de una función

Límite de una función Límite de ua fució SOLUCIONARIO Límite de ua fució LITERATURA Y MATEMÁTICAS El ocho Sharrif iba sacado los libros [de mi bolsa] y ordeádolos e ua pila sobre el escritorio mietras leía cuidadosamete los

Más detalles

Métodos Estadísticos de la Ingeniería Tema 9: Inferencia Estadística, Estimación de Parámetros Grupo B

Métodos Estadísticos de la Ingeniería Tema 9: Inferencia Estadística, Estimación de Parámetros Grupo B Métodos Estadísticos de la Igeiería Tema 9: Iferecia Estadística, Estimació de Parámetros Grupo B Área de Estadística e Ivestigació Operativa Licesio J. Rodríguez-Aragó Abril 200 Coteidos...............................................................

Más detalles

Límite de una función

Límite de una función Límite de ua fució SOLUCIONARIO Límite de ua fució L I T E R A T U R A Y M A T E M Á T I C A S El ocho Sharrif iba sacado los libros [de mi bolsa] y ordeádolos e ua pila sobre el escritorio mietras leía

Más detalles

REFRACCIÓN. OBJETIVOS Después de completar el estudio de este tema podrá usted:

REFRACCIÓN. OBJETIVOS Después de completar el estudio de este tema podrá usted: REFRACCIÓN OBJETIVOS Después de copletar el estudio de este tea podrá usted:. Defiir el ídice de refracció y expresar tres leyes que describe el coportaieto de la luz refractada.. Aplicar la ley de Sell

Más detalles

TEMA 5: INTERPOLACIÓN

TEMA 5: INTERPOLACIÓN 5..- ITRODUCCIÓ TEMA 5: ITERPOLACIÓ Supogamos que coocemos + putos (x,y, (x,y,..., (x,y, de la curva y = f(x, dode las abscisas x k se distribuye e u itervalo [a,b] de maera que a x x < < x b e y k = f(x

Más detalles

SOLUCIONES DE LOS PROBLEMAS DE LA OME 49ª. 1. Sean a, b y n enteros positivos tales que a b y ab 1 n. Prueba que

SOLUCIONES DE LOS PROBLEMAS DE LA OME 49ª. 1. Sean a, b y n enteros positivos tales que a b y ab 1 n. Prueba que SOLUCIONES DE LOS PROBLEMAS DE LA OME 49ª Sea a, b y eteros positivos tales que a b y ab Prueba que a b 4 Idica justificadamete cuádo se alcaa la igualdad Supogamos que el resultado a demostrar fuera falso

Más detalles

10. Estimadores 7 11. Estimación de las precisiones 8

10. Estimadores 7 11. Estimación de las precisiones 8 Ídice Págia 1. Objetivo de la ecuesta 1. Població objetivo 1 3. Cobertura geográfica 1 4. iseño de la uestra 1 4.1 Marco de la ecuesta 1 4. Foració de las uidades priarias de uestreo (UPM) 1 a) E urbao

Más detalles

ELEMENTOS DE ÁLGEBRA MATRICIAL

ELEMENTOS DE ÁLGEBRA MATRICIAL ELEMENTOS DE ÁLGEBRA MATRICIAL Ezequiel Uriel DEFINICIONES Matriz Ua matriz de orde o dimesió p- o ua matriz ( p)- es ua ordeació rectagular de elemetos dispuestos e filas y p columas de la siguiete forma:

Más detalles

OPCIÓN A EJERCICIO 1_A

OPCIÓN A EJERCICIO 1_A IES Fco Ayala de Graada Sobrates de 2001 (Modelo 6) Germá-Jesús Rubio Lua OPCIÓN A EJERCICIO 1_A 1 x -1 Se cosidera la matriz A = 1 1 1. x x 0 (1 5 putos) Calcule los valores de x para los que o existe

Más detalles

TEMA 3.- OPERACIÓN FINANCIERA

TEMA 3.- OPERACIÓN FINANCIERA . DEFINICIÓN Y CLASIFICACIÓN. TEMA 3.- OPEACIÓN FINANCIEA Se deomia operació fiaciera a todo itercambio o simultáeo de capitales fiacieros pactado etre dos agetes, siempre que se verifique la equivalecia,

Más detalles

ASIGNATURA: MATEMATICAS FINANCIERAS

ASIGNATURA: MATEMATICAS FINANCIERAS APUNTES DOCENTES ASIGNATURA: MATEMATICAS FINANCIERAS PROFESORES: MARIN JAIMES CARLOS JAVIER SARMIENTO LUIS JAIME UNIDAD 3: EVALUACIÓN ECONÓMICA DE PROYECTOS DE INVERSIÓN EL VALOR PRESENTE NETO VPN Es ua

Más detalles

Figura 1. Se dice que un subespacio vectorial F de E es A-invariante si los vectores u de F siguen estando en F al transformarse por A, esto es,

Figura 1. Se dice que un subespacio vectorial F de E es A-invariante si los vectores u de F siguen estando en F al transformarse por A, esto es, VALORES Y VECORES PROPIOS Y LA REDUCCION DE CÓNICAS A) EL PROBLEMA PROPIO oda matriz cuadrada A de orde co elemetos (reales o complejos) es u operador lieal que actúa sobre el espacio vectorial E, dimesioal,

Más detalles

PROBLEMAS RESUELTOS SELECTIVIDAD ANDALUCÍA 2014 MATEMÁTICAS APLICADAS A LAS CIENCIAS SOCIALES TEMA 6: TEORÍA DE MUESTRAS

PROBLEMAS RESUELTOS SELECTIVIDAD ANDALUCÍA 2014 MATEMÁTICAS APLICADAS A LAS CIENCIAS SOCIALES TEMA 6: TEORÍA DE MUESTRAS PROBLEMAS RESUELTOS SELECTIVIDAD ANDALUCÍA 04 MATEMÁTICAS APLICADAS A LAS CIENCIAS SOCIALES TEMA 6: TEORÍA DE MUESTRAS Juio, Ejercicio 4, Opció A Reserva, Ejercicio 4, Opció A Reserva, Ejercicio 4, Opció

Más detalles

IES Fco Ayala de Granada Junio de 2013 (Reserva 2 Modelo 1 ) Soluciones Germán-Jesús Rubio Luna

IES Fco Ayala de Granada Junio de 2013 (Reserva 2 Modelo 1 ) Soluciones Germán-Jesús Rubio Luna IES Fco Ayala de Graada Juio de 03 (Reserva Modelo ) Solucioes Germá-Jesús Rubio Lua SELECTIVIDAD ANDALUCÍA MATEMÁTICAS CCSS JUNIO 03 MODELO (RESERVA ) OPCIÓN A EJERCICIO (A) ( 5 putos) U fabricate elabora

Más detalles

Sucesiones numéricas.

Sucesiones numéricas. SUCESIONES 3º ESO Sucesioes uméricas. Ua sucesió es u cojuto ordeado de úmeros reales: a 1, a 2, a 3, a 4, Cada elemeto de la sucesió se deomia térmio, el subídice es el lugar que ocupa e la sucesió. El

Más detalles

ANEXO I ANEXO I CONCEPTOS SÍSMICOS BÁSICOS

ANEXO I ANEXO I CONCEPTOS SÍSMICOS BÁSICOS AEXO I COCEPTOS SÍSMICOS BÁSICOS E este aeo se compila alguos de los coceptos sísmicos básicos pero ecesarios. Se itroduce los tipos de movimietos vibratorios, así como su descripció y otació matemática.

Más detalles

Gradiente, divergencia y rotacional

Gradiente, divergencia y rotacional Lecció 2 Gradiete, divergecia y rotacioal 2.1. Gradiete de u campo escalar Campos escalares. U campo escalar e R es ua fució f : Ω R, dode Ω es u subcojuto de R. Usualmete Ω será u cojuto abierto. Para

Más detalles

Una serie de potencias puede ser interpretada como una función de x. f(x) = n=0

Una serie de potencias puede ser interpretada como una función de x. f(x) = n=0 Tema 4 Series de Potecias Ua expresió de la forma a 0 + a 1 (x c) + a 2 (x c) 2 +... + a (x c) +... = recibe el ombre de serie de potecias cetrada e c. a (x c) Ua serie de potecias puede ser iterpretada

Más detalles

PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E

PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E PRUEBAS DE ACCESO A LA UNIVERSIDAD L.O.G.S.E CURSO 1999-2. - CONVOCATORIA: Juio MATEMÁTICAS APLICADAS A LAS CIENCIAS SOCIALES - Cada alumo debe elegir sólo ua de las pruebas (A o B) y, detro de ella, sólo

Más detalles

Por: Lic. Eleazar J. García. República Bolivariana de Venezuela Tinaco.- Estado Cojedes. INTEGRALES INDEFINIDAS

Por: Lic. Eleazar J. García. República Bolivariana de Venezuela Tinaco.- Estado Cojedes. INTEGRALES INDEFINIDAS Por: Lic. Eleazar J. García. República Bolivariaa de Veezuela Tiaco.- Estado Cojedes. INTEGRALES INDEFINIDAS Usted está familiarizado co alguas operacioes iversas. La adició y la sustracció so operacioes

Más detalles

MATEMÁTICAS FINANCIERAS

MATEMÁTICAS FINANCIERAS MATEMÁTIAS FINANIERAS Secció: 1 Profesores: ristiá Bargsted Adrés Kettlu oteido Matemáticas Fiacieras: Iterés Simple vs Iterés ompuesto Valor Presete y Valor Futuro Plaificació estratégica Matemáticas

Más detalles

16 Distribución Muestral de la Proporción

16 Distribución Muestral de la Proporción 16 Distribució Muestral de la Proporció 16.1 INTRODUCCIÓN E el capítulo aterior hemos estudiado cómo se distribuye la variable aleatoria media aritmética de valores idepedietes. A esta distribució la hemos

Más detalles

TEMA 2.- MODELOS DE PROGRAMACION LINEAL. SOLUCION GRAFICA. En los problemas de Programación Lineal nos encontraremos con:

TEMA 2.- MODELOS DE PROGRAMACION LINEAL. SOLUCION GRAFICA. En los problemas de Programación Lineal nos encontraremos con: TEMA 2.- MODELOS DE PROGRAMACION LINEAL. SOLUCION GRAFICA.- Itroducció E los problemas de Programació Lieal os ecotraremos co: - Fució Objetivo: es la meta que se quiere alcazar, y que será la fució a

Más detalles

La característica más resaltante de la capitalización con tasa de. interés simple es que el valor futuro de un capital aumenta de manera

La característica más resaltante de la capitalización con tasa de. interés simple es que el valor futuro de un capital aumenta de manera La Capitalizació co ua Tasa de Iterés Siple El Iterés Siple La característica ás resaltate de la capitalizació co tasa de iterés siple es que el valor futuro de u capital aueta de aera lieal. Sea u pricipal

Más detalles

Imposiciones y Sistemas de Amortización

Imposiciones y Sistemas de Amortización Imposicioes y Sistemas de Amortizació La Imposició u caso particular de reta e el cual cada térmio devega iterés (simple o compuesto) desde la fecha de su aboo hasta la fecha fial. Imposicioes Vecidas

Más detalles

QUÉ HACE CALIFORNIA CREDIT UNION CON SU INFORMACIÓN PERSONAL?

QUÉ HACE CALIFORNIA CREDIT UNION CON SU INFORMACIÓN PERSONAL? Rev. 12/26/12 DATOS Por qué? Qué? QUÉ HACE CALIFORNIA CREDIT UNION CON SU INFORMACIÓN PERSONAL? Las istitucioes fiacieras elige la maera e que comparte su iformació persoal. La ley federal otorga a los

Más detalles

Para efectuar la evaluación de los criterios de integración se utilizó correspondiente a las distancias relativas de Hamming. i=1

Para efectuar la evaluación de los criterios de integración se utilizó correspondiente a las distancias relativas de Hamming. i=1 3.4 Evaluació de la implemetació y su compatibilidad co NC PAS:99:2008 La aplicació del modelo del CMI y la herramieta de medició (el CM ODUN) permitió cotrastar los resultados co lo establecido por la

Más detalles

Fórmula de Taylor. Si f es continua en [a,x] y derivable en (a,x), existe c (a,x) tal que f(x) f(a) f '(c) = f(x) = f(a) + f '(c)(x a)

Fórmula de Taylor. Si f es continua en [a,x] y derivable en (a,x), existe c (a,x) tal que f(x) f(a) f '(c) = f(x) = f(a) + f '(c)(x a) Aproimació de ua fució mediate u poliomio Cuado yf tiee ua epresió complicada y ecesitamos calcular los valores de ésta, se puede aproimar mediate fucioes secillas (poliómicas). El teorema del valor medio

Más detalles

Ejemplos y ejercicios de. Análisis Exploratorio de Datos. 2 Descripción estadística de una variable. Ejemplos y ejercicios.

Ejemplos y ejercicios de. Análisis Exploratorio de Datos. 2 Descripción estadística de una variable. Ejemplos y ejercicios. ANÁLISIS EXPLORATORIO DE DATOS Ejemplos y ejercicios de Aálisis Exploratorio de Datos Descripció estadística de ua variable. Ejemplos y ejercicios..1 Ejemplos. Ejemplo.1 Se ha medido el grupo saguíeo de

Más detalles

Capítulo I. La importancia del factor de potencia en las redes. eléctricas

Capítulo I. La importancia del factor de potencia en las redes. eléctricas La importacia del factor de potecia e las redes eléctricas. Itroducció Las fuetes de alimetació o geeradores de voltaje so las ecargadas de sumiistrar eergía e las redes eléctricas. Estas so de suma importacia,

Más detalles

OPCIÓN A EJERCICIO 1_A 1-2 1 Sean las matrices A =

OPCIÓN A EJERCICIO 1_A 1-2 1 Sean las matrices A = IES Fco Ayala de Graada Sobrates de 007 (Juio Modelo ) Solució Germá-Jesús Rubio Lua OPCIÓN A EJERCICIO 1_A 1-1 x -x Sea las matrices A, X y e Y -1 3 0 - z (1 puto) Determie la matriz iversa de A. ( putos)

Más detalles

INSTITUTO NACIONAL DE ESTADÍSTICA Y GEOGRAFÍA. Encuesta Nacional de la Dinámica Demográfica 2009. Diseño muestral

INSTITUTO NACIONAL DE ESTADÍSTICA Y GEOGRAFÍA. Encuesta Nacional de la Dinámica Demográfica 2009. Diseño muestral INSTITUTO NACIONAL E ESTAÍSTICA Y GEOGRAFÍA Ecuesta Nacioal de la iáica eográfica 2009 iseño uestral Ídice Págia. Objetivo de la ecuesta 2. Població objetivo 3. Cobertura geográfica 4. iseño de la uestra

Más detalles

IES Fco Ayala de Granada Sobrantes de 2011 (Modelo 1) Enunciado Germán-Jesús Rubio Luna

IES Fco Ayala de Granada Sobrantes de 2011 (Modelo 1) Enunciado Germán-Jesús Rubio Luna IES Fco Ayala de Graada Sobrates de 011 (Modelo 1) Euciado Germá-Jesús Rubio Lua SOLUCIONES PRUEBA DE ACCESO A LA UNIVERSIDAD DEL AÑO 010-011 ANDALUCÍA MATEMÁTICAS APLICADAS A LAS CIENCIAS SOCIALES II

Más detalles

El Transistor de Efecto de Campo (FET)

El Transistor de Efecto de Campo (FET) El Trasistor de Efecto de Camo (FET) J.I.Huirca, R.A. Carrillo Uiversidad de La Frotera. ecember 10, 2011 Abstract El FET es u disositivo activo que oera como ua fuete de corriete cotrolada or voltaje.

Más detalles

Tema 9. Combinatoria

Tema 9. Combinatoria Tea 9. Cobiatoria. Defiició de cobiatoria. Estrategias de resolució.. Estrategia del producto y la sua.. Diagraa de árbol. Variacioes y perutacioes.. Variacioes siples u ordiarias.. Perutacioes.. Variacioes

Más detalles

LÍMITES DE FUNCIONES REALES CON TENDENCIA A REAL

LÍMITES DE FUNCIONES REALES CON TENDENCIA A REAL INSTITUCION EDUCATIVA LA PRESENTACION NOMBRE ALUMNA: AREA : MATEMÁTICAS ASIGNATURA: MATEMÁTICAS DOCENTE: JOSÉ IGNACIO DE JESÚS FRANCO RESTREPO TIPO DE GUIA: CONCEPTUAL - EJERCITACION PERIODO GRADO N FECHA

Más detalles

Estimación puntual y por intervalos de confianza

Estimación puntual y por intervalos de confianza Ídice 6 Estimació putual y por itervalos de cofiaza 6.1 6.1 Itroducció.......................................... 6.1 6. Estimador........................................... 6. 6.3 Método de costrucció

Más detalles

Soluciones Hoja de Ejercicios 2. Econometría I

Soluciones Hoja de Ejercicios 2. Econometría I Ecoometría I. Solucioes Hoja 2 Carlos Velasco. MEI UC3M. 2007/08 Solucioes Hoja de Ejercicios 2 Ecoometría I 1. Al pregutar el saldo Z (e miles de euros) de su cueta de ahorro cojuta a u matrimoio madrileño

Más detalles

IES Fco Ayala de Granada Sobrantes de 2008 (Modelo 3 Junio) Solución Germán-Jesús Rubio Luna 12 2 = 3 12. , es decir

IES Fco Ayala de Granada Sobrantes de 2008 (Modelo 3 Junio) Solución Germán-Jesús Rubio Luna 12 2 = 3 12. , es decir IES Fco Ayala de Graada Sobrates de 008 (Modelo Juio) Germá-Jesús Rubio Lua SELECTIVIDAD ANDALUCÍA MATEMÁTICAS CCSS JUNIO 008 (MODELO ) OPCIÓN A EJERCICIO _A 0 a b Sea las matrices A= y B= 0 6 a) ( 5 putos)

Más detalles

Estadística Descriptiva

Estadística Descriptiva Igacio Cascos Ferádez Dpto. Estadística e I.O. Uiversidad Pública de Navarra Estadística Descriptiva Estadística ITT Soido e Image curso 2004-2005 1. Defiicioes fudametales La Estadística Descriptiva se

Más detalles

Tema 9. Inferencia Estadística. Intervalos de confianza.

Tema 9. Inferencia Estadística. Intervalos de confianza. Tema 9. Iferecia Estadística. Itervalos de cofiaza. Idice 1. Itroducció.... 2 2. Itervalo de cofiaza para media poblacioal. Tamaño de la muestra.... 2 2.1. Itervalo de cofiaza... 2 2.2. Tamaño de la muestra...

Más detalles

Midiendo el Desempeño

Midiendo el Desempeño Midiedo el Desempeño Prof. Mariela J. Curiel H. Midiedo el Desempeño Qué variables se desea medir Cuáles so las herramietas dispoibles Qué tecicas se utiliza para calcular los parámetros de etrada de u

Más detalles

Propuesta de un modelo para la gestión de los neumáticos de una flota de vehículos

Propuesta de un modelo para la gestión de los neumáticos de una flota de vehículos 5 th Iteratioal oferece o Idustrial Egieerig ad Idustrial Maageet XV ogreso de Igeiería de Orgaizació artagea, 7 a 9 de Setiebre de 2 Prouesta de u odelo ara la gestió de los euáticos de ua flota de vehículos

Más detalles

Automá ca. Capítulo6.LugardelasRaíces. JoséRamónLlataGarcía EstherGonzálezSarabia DámasoFernándezPérez CarlosToreFerero MaríaSandraRoblaGómez

Automá ca. Capítulo6.LugardelasRaíces. JoséRamónLlataGarcía EstherGonzálezSarabia DámasoFernándezPérez CarlosToreFerero MaríaSandraRoblaGómez Autoáca Capítulo6.LugardelasRaíces JoséRaóLlataGarcía EstherGozálezSarabia DáasoFerádezPérez CarlosToreFerero MaríaSadraRoblaGóez DepartaetodeTecologíaElectróica eigeieríadesisteasyautoáca Lugar de las

Más detalles